0ddc2f4ecd4a08a22e31bf3d0c465921899cd3dc
[firefly-linux-kernel-4.4.55.git] / drivers / media / dvb / dvb-core / dvb_frontend.c
1 /*
2  * dvb_frontend.c: DVB frontend tuning interface/thread
3  *
4  *
5  * Copyright (C) 1999-2001 Ralph  Metzler
6  *                         Marcus Metzler
7  *                         Holger Waechtler
8  *                                    for convergence integrated media GmbH
9  *
10  * Copyright (C) 2004 Andrew de Quincey (tuning thread cleanup)
11  *
12  * This program is free software; you can redistribute it and/or
13  * modify it under the terms of the GNU General Public License
14  * as published by the Free Software Foundation; either version 2
15  * of the License, or (at your option) any later version.
16  *
17  * This program is distributed in the hope that it will be useful,
18  * but WITHOUT ANY WARRANTY; without even the implied warranty of
19  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
20  * GNU General Public License for more details.
21  *
22  * You should have received a copy of the GNU General Public License
23  * along with this program; if not, write to the Free Software
24  * Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
25  * Or, point your browser to http://www.gnu.org/copyleft/gpl.html
26  */
27
28 #include <linux/string.h>
29 #include <linux/kernel.h>
30 #include <linux/sched.h>
31 #include <linux/wait.h>
32 #include <linux/slab.h>
33 #include <linux/poll.h>
34 #include <linux/module.h>
35 #include <linux/list.h>
36 #include <linux/freezer.h>
37 #include <linux/jiffies.h>
38 #include <linux/kthread.h>
39 #include <asm/processor.h>
40
41 #include "dvb_frontend.h"
42 #include "dvbdev.h"
43 #include <linux/dvb/version.h>
44
45 static int dvb_frontend_debug;
46 static int dvb_shutdown_timeout;
47 static int dvb_force_auto_inversion;
48 static int dvb_override_tune_delay;
49 static int dvb_powerdown_on_sleep = 1;
50
51 module_param_named(frontend_debug, dvb_frontend_debug, int, 0644);
52 MODULE_PARM_DESC(frontend_debug, "Turn on/off frontend core debugging (default:off).");
53 module_param(dvb_shutdown_timeout, int, 0644);
54 MODULE_PARM_DESC(dvb_shutdown_timeout, "wait <shutdown_timeout> seconds after close() before suspending hardware");
55 module_param(dvb_force_auto_inversion, int, 0644);
56 MODULE_PARM_DESC(dvb_force_auto_inversion, "0: normal (default), 1: INVERSION_AUTO forced always");
57 module_param(dvb_override_tune_delay, int, 0644);
58 MODULE_PARM_DESC(dvb_override_tune_delay, "0: normal (default), >0 => delay in milliseconds to wait for lock after a tune attempt");
59 module_param(dvb_powerdown_on_sleep, int, 0644);
60 MODULE_PARM_DESC(dvb_powerdown_on_sleep, "0: do not power down, 1: turn LNB voltage off on sleep (default)");
61
62 #define dprintk if (dvb_frontend_debug) printk
63
64 #define FESTATE_IDLE 1
65 #define FESTATE_RETUNE 2
66 #define FESTATE_TUNING_FAST 4
67 #define FESTATE_TUNING_SLOW 8
68 #define FESTATE_TUNED 16
69 #define FESTATE_ZIGZAG_FAST 32
70 #define FESTATE_ZIGZAG_SLOW 64
71 #define FESTATE_DISEQC 128
72 #define FESTATE_WAITFORLOCK (FESTATE_TUNING_FAST | FESTATE_TUNING_SLOW | FESTATE_ZIGZAG_FAST | FESTATE_ZIGZAG_SLOW | FESTATE_DISEQC)
73 #define FESTATE_SEARCHING_FAST (FESTATE_TUNING_FAST | FESTATE_ZIGZAG_FAST)
74 #define FESTATE_SEARCHING_SLOW (FESTATE_TUNING_SLOW | FESTATE_ZIGZAG_SLOW)
75 #define FESTATE_LOSTLOCK (FESTATE_ZIGZAG_FAST | FESTATE_ZIGZAG_SLOW)
76
77 #define FE_ALGO_HW              1
78 /*
79  * FESTATE_IDLE. No tuning parameters have been supplied and the loop is idling.
80  * FESTATE_RETUNE. Parameters have been supplied, but we have not yet performed the first tune.
81  * FESTATE_TUNING_FAST. Tuning parameters have been supplied and fast zigzag scan is in progress.
82  * FESTATE_TUNING_SLOW. Tuning parameters have been supplied. Fast zigzag failed, so we're trying again, but slower.
83  * FESTATE_TUNED. The frontend has successfully locked on.
84  * FESTATE_ZIGZAG_FAST. The lock has been lost, and a fast zigzag has been initiated to try and regain it.
85  * FESTATE_ZIGZAG_SLOW. The lock has been lost. Fast zigzag has been failed, so we're trying again, but slower.
86  * FESTATE_DISEQC. A DISEQC command has just been issued.
87  * FESTATE_WAITFORLOCK. When we're waiting for a lock.
88  * FESTATE_SEARCHING_FAST. When we're searching for a signal using a fast zigzag scan.
89  * FESTATE_SEARCHING_SLOW. When we're searching for a signal using a slow zigzag scan.
90  * FESTATE_LOSTLOCK. When the lock has been lost, and we're searching it again.
91  */
92
93 static DEFINE_MUTEX(frontend_mutex);
94
95 struct dvb_frontend_private {
96
97         /* thread/frontend values */
98         struct dvb_device *dvbdev;
99         struct dvb_frontend_parameters parameters;
100         struct dvb_fe_events events;
101         struct semaphore sem;
102         struct list_head list_head;
103         wait_queue_head_t wait_queue;
104         struct task_struct *thread;
105         unsigned long release_jiffies;
106         unsigned int exit;
107         unsigned int wakeup;
108         fe_status_t status;
109         unsigned long tune_mode_flags;
110         unsigned int delay;
111         unsigned int reinitialise;
112         int tone;
113         int voltage;
114
115         /* swzigzag values */
116         unsigned int state;
117         unsigned int bending;
118         int lnb_drift;
119         unsigned int inversion;
120         unsigned int auto_step;
121         unsigned int auto_sub_step;
122         unsigned int started_auto_step;
123         unsigned int min_delay;
124         unsigned int max_drift;
125         unsigned int step_size;
126         int quality;
127         unsigned int check_wrapped;
128 };
129
130 static void dvb_frontend_wakeup(struct dvb_frontend *fe);
131
132 static void dvb_frontend_add_event(struct dvb_frontend *fe, fe_status_t status)
133 {
134         struct dvb_frontend_private *fepriv = fe->frontend_priv;
135         struct dvb_fe_events *events = &fepriv->events;
136         struct dvb_frontend_event *e;
137         int wp;
138
139         dprintk ("%s\n", __func__);
140
141         if (mutex_lock_interruptible (&events->mtx))
142                 return;
143
144         wp = (events->eventw + 1) % MAX_EVENT;
145
146         if (wp == events->eventr) {
147                 events->overflow = 1;
148                 events->eventr = (events->eventr + 1) % MAX_EVENT;
149         }
150
151         e = &events->events[events->eventw];
152
153         memcpy (&e->parameters, &fepriv->parameters,
154                 sizeof (struct dvb_frontend_parameters));
155
156         if (status & FE_HAS_LOCK)
157                 if (fe->ops.get_frontend)
158                         fe->ops.get_frontend(fe, &e->parameters);
159
160         events->eventw = wp;
161
162         mutex_unlock(&events->mtx);
163
164         e->status = status;
165
166         wake_up_interruptible (&events->wait_queue);
167 }
168
169 static int dvb_frontend_get_event(struct dvb_frontend *fe,
170                             struct dvb_frontend_event *event, int flags)
171 {
172         struct dvb_frontend_private *fepriv = fe->frontend_priv;
173         struct dvb_fe_events *events = &fepriv->events;
174
175         dprintk ("%s\n", __func__);
176
177         if (events->overflow) {
178                 events->overflow = 0;
179                 return -EOVERFLOW;
180         }
181
182         if (events->eventw == events->eventr) {
183                 int ret;
184
185                 if (flags & O_NONBLOCK)
186                         return -EWOULDBLOCK;
187
188                 up(&fepriv->sem);
189
190                 ret = wait_event_interruptible (events->wait_queue,
191                                                 events->eventw != events->eventr);
192
193                 if (down_interruptible (&fepriv->sem))
194                         return -ERESTARTSYS;
195
196                 if (ret < 0)
197                         return ret;
198         }
199
200         if (mutex_lock_interruptible (&events->mtx))
201                 return -ERESTARTSYS;
202
203         memcpy (event, &events->events[events->eventr],
204                 sizeof(struct dvb_frontend_event));
205
206         events->eventr = (events->eventr + 1) % MAX_EVENT;
207
208         mutex_unlock(&events->mtx);
209
210         return 0;
211 }
212
213 static void dvb_frontend_init(struct dvb_frontend *fe)
214 {
215         dprintk ("DVB: initialising frontend %i (%s)...\n",
216                  fe->dvb->num,
217                  fe->ops.info.name);
218
219         if (fe->ops.init)
220                 fe->ops.init(fe);
221         if (fe->ops.tuner_ops.init) {
222                 fe->ops.tuner_ops.init(fe);
223                 if (fe->ops.i2c_gate_ctrl)
224                         fe->ops.i2c_gate_ctrl(fe, 0);
225         }
226 }
227
228 void dvb_frontend_reinitialise(struct dvb_frontend *fe)
229 {
230         struct dvb_frontend_private *fepriv = fe->frontend_priv;
231
232         fepriv->reinitialise = 1;
233         dvb_frontend_wakeup(fe);
234 }
235 EXPORT_SYMBOL(dvb_frontend_reinitialise);
236
237 static void dvb_frontend_swzigzag_update_delay(struct dvb_frontend_private *fepriv, int locked)
238 {
239         int q2;
240
241         dprintk ("%s\n", __func__);
242
243         if (locked)
244                 (fepriv->quality) = (fepriv->quality * 220 + 36*256) / 256;
245         else
246                 (fepriv->quality) = (fepriv->quality * 220 + 0) / 256;
247
248         q2 = fepriv->quality - 128;
249         q2 *= q2;
250
251         fepriv->delay = fepriv->min_delay + q2 * HZ / (128*128);
252 }
253
254 /**
255  * Performs automatic twiddling of frontend parameters.
256  *
257  * @param fe The frontend concerned.
258  * @param check_wrapped Checks if an iteration has completed. DO NOT SET ON THE FIRST ATTEMPT
259  * @returns Number of complete iterations that have been performed.
260  */
261 static int dvb_frontend_swzigzag_autotune(struct dvb_frontend *fe, int check_wrapped)
262 {
263         int autoinversion;
264         int ready = 0;
265         struct dvb_frontend_private *fepriv = fe->frontend_priv;
266         int original_inversion = fepriv->parameters.inversion;
267         u32 original_frequency = fepriv->parameters.frequency;
268
269         /* are we using autoinversion? */
270         autoinversion = ((!(fe->ops.info.caps & FE_CAN_INVERSION_AUTO)) &&
271                          (fepriv->parameters.inversion == INVERSION_AUTO));
272
273         /* setup parameters correctly */
274         while(!ready) {
275                 /* calculate the lnb_drift */
276                 fepriv->lnb_drift = fepriv->auto_step * fepriv->step_size;
277
278                 /* wrap the auto_step if we've exceeded the maximum drift */
279                 if (fepriv->lnb_drift > fepriv->max_drift) {
280                         fepriv->auto_step = 0;
281                         fepriv->auto_sub_step = 0;
282                         fepriv->lnb_drift = 0;
283                 }
284
285                 /* perform inversion and +/- zigzag */
286                 switch(fepriv->auto_sub_step) {
287                 case 0:
288                         /* try with the current inversion and current drift setting */
289                         ready = 1;
290                         break;
291
292                 case 1:
293                         if (!autoinversion) break;
294
295                         fepriv->inversion = (fepriv->inversion == INVERSION_OFF) ? INVERSION_ON : INVERSION_OFF;
296                         ready = 1;
297                         break;
298
299                 case 2:
300                         if (fepriv->lnb_drift == 0) break;
301
302                         fepriv->lnb_drift = -fepriv->lnb_drift;
303                         ready = 1;
304                         break;
305
306                 case 3:
307                         if (fepriv->lnb_drift == 0) break;
308                         if (!autoinversion) break;
309
310                         fepriv->inversion = (fepriv->inversion == INVERSION_OFF) ? INVERSION_ON : INVERSION_OFF;
311                         fepriv->lnb_drift = -fepriv->lnb_drift;
312                         ready = 1;
313                         break;
314
315                 default:
316                         fepriv->auto_step++;
317                         fepriv->auto_sub_step = -1; /* it'll be incremented to 0 in a moment */
318                         break;
319                 }
320
321                 if (!ready) fepriv->auto_sub_step++;
322         }
323
324         /* if this attempt would hit where we started, indicate a complete
325          * iteration has occurred */
326         if ((fepriv->auto_step == fepriv->started_auto_step) &&
327             (fepriv->auto_sub_step == 0) && check_wrapped) {
328                 return 1;
329         }
330
331         dprintk("%s: drift:%i inversion:%i auto_step:%i "
332                 "auto_sub_step:%i started_auto_step:%i\n",
333                 __func__, fepriv->lnb_drift, fepriv->inversion,
334                 fepriv->auto_step, fepriv->auto_sub_step, fepriv->started_auto_step);
335
336         /* set the frontend itself */
337         fepriv->parameters.frequency += fepriv->lnb_drift;
338         if (autoinversion)
339                 fepriv->parameters.inversion = fepriv->inversion;
340         if (fe->ops.set_frontend)
341                 fe->ops.set_frontend(fe, &fepriv->parameters);
342
343         fepriv->parameters.frequency = original_frequency;
344         fepriv->parameters.inversion = original_inversion;
345
346         fepriv->auto_sub_step++;
347         return 0;
348 }
349
350 static void dvb_frontend_swzigzag(struct dvb_frontend *fe)
351 {
352         fe_status_t s = 0;
353         struct dvb_frontend_private *fepriv = fe->frontend_priv;
354
355         /* if we've got no parameters, just keep idling */
356         if (fepriv->state & FESTATE_IDLE) {
357                 fepriv->delay = 3*HZ;
358                 fepriv->quality = 0;
359                 return;
360         }
361
362         /* in SCAN mode, we just set the frontend when asked and leave it alone */
363         if (fepriv->tune_mode_flags & FE_TUNE_MODE_ONESHOT) {
364                 if (fepriv->state & FESTATE_RETUNE) {
365                         if (fe->ops.set_frontend)
366                                 fe->ops.set_frontend(fe, &fepriv->parameters);
367                         fepriv->state = FESTATE_TUNED;
368                 }
369                 fepriv->delay = 3*HZ;
370                 fepriv->quality = 0;
371                 return;
372         }
373
374         /* get the frontend status */
375         if (fepriv->state & FESTATE_RETUNE) {
376                 s = 0;
377         } else {
378                 if (fe->ops.read_status)
379                         fe->ops.read_status(fe, &s);
380                 if (s != fepriv->status) {
381                         dvb_frontend_add_event(fe, s);
382                         fepriv->status = s;
383                 }
384         }
385
386         /* if we're not tuned, and we have a lock, move to the TUNED state */
387         if ((fepriv->state & FESTATE_WAITFORLOCK) && (s & FE_HAS_LOCK)) {
388                 dvb_frontend_swzigzag_update_delay(fepriv, s & FE_HAS_LOCK);
389                 fepriv->state = FESTATE_TUNED;
390
391                 /* if we're tuned, then we have determined the correct inversion */
392                 if ((!(fe->ops.info.caps & FE_CAN_INVERSION_AUTO)) &&
393                     (fepriv->parameters.inversion == INVERSION_AUTO)) {
394                         fepriv->parameters.inversion = fepriv->inversion;
395                 }
396                 return;
397         }
398
399         /* if we are tuned already, check we're still locked */
400         if (fepriv->state & FESTATE_TUNED) {
401                 dvb_frontend_swzigzag_update_delay(fepriv, s & FE_HAS_LOCK);
402
403                 /* we're tuned, and the lock is still good... */
404                 if (s & FE_HAS_LOCK) {
405                         return;
406                 } else { /* if we _WERE_ tuned, but now don't have a lock */
407                         fepriv->state = FESTATE_ZIGZAG_FAST;
408                         fepriv->started_auto_step = fepriv->auto_step;
409                         fepriv->check_wrapped = 0;
410                 }
411         }
412
413         /* don't actually do anything if we're in the LOSTLOCK state,
414          * the frontend is set to FE_CAN_RECOVER, and the max_drift is 0 */
415         if ((fepriv->state & FESTATE_LOSTLOCK) &&
416             (fe->ops.info.caps & FE_CAN_RECOVER) && (fepriv->max_drift == 0)) {
417                 dvb_frontend_swzigzag_update_delay(fepriv, s & FE_HAS_LOCK);
418                 return;
419         }
420
421         /* don't do anything if we're in the DISEQC state, since this
422          * might be someone with a motorized dish controlled by DISEQC.
423          * If its actually a re-tune, there will be a SET_FRONTEND soon enough. */
424         if (fepriv->state & FESTATE_DISEQC) {
425                 dvb_frontend_swzigzag_update_delay(fepriv, s & FE_HAS_LOCK);
426                 return;
427         }
428
429         /* if we're in the RETUNE state, set everything up for a brand
430          * new scan, keeping the current inversion setting, as the next
431          * tune is _very_ likely to require the same */
432         if (fepriv->state & FESTATE_RETUNE) {
433                 fepriv->lnb_drift = 0;
434                 fepriv->auto_step = 0;
435                 fepriv->auto_sub_step = 0;
436                 fepriv->started_auto_step = 0;
437                 fepriv->check_wrapped = 0;
438         }
439
440         /* fast zigzag. */
441         if ((fepriv->state & FESTATE_SEARCHING_FAST) || (fepriv->state & FESTATE_RETUNE)) {
442                 fepriv->delay = fepriv->min_delay;
443
444                 /* peform a tune */
445                 if (dvb_frontend_swzigzag_autotune(fe, fepriv->check_wrapped)) {
446                         /* OK, if we've run out of trials at the fast speed.
447                          * Drop back to slow for the _next_ attempt */
448                         fepriv->state = FESTATE_SEARCHING_SLOW;
449                         fepriv->started_auto_step = fepriv->auto_step;
450                         return;
451                 }
452                 fepriv->check_wrapped = 1;
453
454                 /* if we've just retuned, enter the ZIGZAG_FAST state.
455                  * This ensures we cannot return from an
456                  * FE_SET_FRONTEND ioctl before the first frontend tune
457                  * occurs */
458                 if (fepriv->state & FESTATE_RETUNE) {
459                         fepriv->state = FESTATE_TUNING_FAST;
460                 }
461         }
462
463         /* slow zigzag */
464         if (fepriv->state & FESTATE_SEARCHING_SLOW) {
465                 dvb_frontend_swzigzag_update_delay(fepriv, s & FE_HAS_LOCK);
466
467                 /* Note: don't bother checking for wrapping; we stay in this
468                  * state until we get a lock */
469                 dvb_frontend_swzigzag_autotune(fe, 0);
470         }
471 }
472
473 static int dvb_frontend_is_exiting(struct dvb_frontend *fe)
474 {
475         struct dvb_frontend_private *fepriv = fe->frontend_priv;
476
477         if (fepriv->exit)
478                 return 1;
479
480         if (fepriv->dvbdev->writers == 1)
481                 if (time_after(jiffies, fepriv->release_jiffies +
482                                   dvb_shutdown_timeout * HZ))
483                         return 1;
484
485         return 0;
486 }
487
488 static int dvb_frontend_should_wakeup(struct dvb_frontend *fe)
489 {
490         struct dvb_frontend_private *fepriv = fe->frontend_priv;
491
492         if (fepriv->wakeup) {
493                 fepriv->wakeup = 0;
494                 return 1;
495         }
496         return dvb_frontend_is_exiting(fe);
497 }
498
499 static void dvb_frontend_wakeup(struct dvb_frontend *fe)
500 {
501         struct dvb_frontend_private *fepriv = fe->frontend_priv;
502
503         fepriv->wakeup = 1;
504         wake_up_interruptible(&fepriv->wait_queue);
505 }
506
507 static int dvb_frontend_thread(void *data)
508 {
509         struct dvb_frontend *fe = data;
510         struct dvb_frontend_private *fepriv = fe->frontend_priv;
511         unsigned long timeout;
512         fe_status_t s;
513         struct dvb_frontend_parameters *params;
514
515         dprintk("%s\n", __func__);
516
517         fepriv->check_wrapped = 0;
518         fepriv->quality = 0;
519         fepriv->delay = 3*HZ;
520         fepriv->status = 0;
521         fepriv->wakeup = 0;
522         fepriv->reinitialise = 0;
523
524         dvb_frontend_init(fe);
525
526         set_freezable();
527         while (1) {
528                 up(&fepriv->sem);           /* is locked when we enter the thread... */
529 restart:
530                 timeout = wait_event_interruptible_timeout(fepriv->wait_queue,
531                         dvb_frontend_should_wakeup(fe) || kthread_should_stop()
532                                 || freezing(current),
533                         fepriv->delay);
534
535                 if (kthread_should_stop() || dvb_frontend_is_exiting(fe)) {
536                         /* got signal or quitting */
537                         break;
538                 }
539
540                 if (try_to_freeze())
541                         goto restart;
542
543                 if (down_interruptible(&fepriv->sem))
544                         break;
545
546                 if (fepriv->reinitialise) {
547                         dvb_frontend_init(fe);
548                         if (fepriv->tone != -1) {
549                                 fe->ops.set_tone(fe, fepriv->tone);
550                         }
551                         if (fepriv->voltage != -1) {
552                                 fe->ops.set_voltage(fe, fepriv->voltage);
553                         }
554                         fepriv->reinitialise = 0;
555                 }
556
557                 /* do an iteration of the tuning loop */
558                 if (fe->ops.get_frontend_algo) {
559                         if (fe->ops.get_frontend_algo(fe) == FE_ALGO_HW) {
560                                 /* have we been asked to retune? */
561                                 params = NULL;
562                                 if (fepriv->state & FESTATE_RETUNE) {
563                                         params = &fepriv->parameters;
564                                         fepriv->state = FESTATE_TUNED;
565                                 }
566
567                                 fe->ops.tune(fe, params, fepriv->tune_mode_flags, &fepriv->delay, &s);
568                                 if (s != fepriv->status) {
569                                         dvb_frontend_add_event(fe, s);
570                                         fepriv->status = s;
571                                 }
572                         } else
573                                 dvb_frontend_swzigzag(fe);
574                 } else
575                         dvb_frontend_swzigzag(fe);
576         }
577
578         if (dvb_powerdown_on_sleep) {
579                 if (fe->ops.set_voltage)
580                         fe->ops.set_voltage(fe, SEC_VOLTAGE_OFF);
581                 if (fe->ops.tuner_ops.sleep) {
582                         fe->ops.tuner_ops.sleep(fe);
583                         if (fe->ops.i2c_gate_ctrl)
584                                 fe->ops.i2c_gate_ctrl(fe, 0);
585                 }
586                 if (fe->ops.sleep)
587                         fe->ops.sleep(fe);
588         }
589
590         fepriv->thread = NULL;
591         mb();
592
593         dvb_frontend_wakeup(fe);
594         return 0;
595 }
596
597 static void dvb_frontend_stop(struct dvb_frontend *fe)
598 {
599         struct dvb_frontend_private *fepriv = fe->frontend_priv;
600
601         dprintk ("%s\n", __func__);
602
603         fepriv->exit = 1;
604         mb();
605
606         if (!fepriv->thread)
607                 return;
608
609         kthread_stop(fepriv->thread);
610
611         init_MUTEX (&fepriv->sem);
612         fepriv->state = FESTATE_IDLE;
613
614         /* paranoia check in case a signal arrived */
615         if (fepriv->thread)
616                 printk("dvb_frontend_stop: warning: thread %p won't exit\n",
617                                 fepriv->thread);
618 }
619
620 s32 timeval_usec_diff(struct timeval lasttime, struct timeval curtime)
621 {
622         return ((curtime.tv_usec < lasttime.tv_usec) ?
623                 1000000 - lasttime.tv_usec + curtime.tv_usec :
624                 curtime.tv_usec - lasttime.tv_usec);
625 }
626 EXPORT_SYMBOL(timeval_usec_diff);
627
628 static inline void timeval_usec_add(struct timeval *curtime, u32 add_usec)
629 {
630         curtime->tv_usec += add_usec;
631         if (curtime->tv_usec >= 1000000) {
632                 curtime->tv_usec -= 1000000;
633                 curtime->tv_sec++;
634         }
635 }
636
637 /*
638  * Sleep until gettimeofday() > waketime + add_usec
639  * This needs to be as precise as possible, but as the delay is
640  * usually between 2ms and 32ms, it is done using a scheduled msleep
641  * followed by usleep (normally a busy-wait loop) for the remainder
642  */
643 void dvb_frontend_sleep_until(struct timeval *waketime, u32 add_usec)
644 {
645         struct timeval lasttime;
646         s32 delta, newdelta;
647
648         timeval_usec_add(waketime, add_usec);
649
650         do_gettimeofday(&lasttime);
651         delta = timeval_usec_diff(lasttime, *waketime);
652         if (delta > 2500) {
653                 msleep((delta - 1500) / 1000);
654                 do_gettimeofday(&lasttime);
655                 newdelta = timeval_usec_diff(lasttime, *waketime);
656                 delta = (newdelta > delta) ? 0 : newdelta;
657         }
658         if (delta > 0)
659                 udelay(delta);
660 }
661 EXPORT_SYMBOL(dvb_frontend_sleep_until);
662
663 static int dvb_frontend_start(struct dvb_frontend *fe)
664 {
665         int ret;
666         struct dvb_frontend_private *fepriv = fe->frontend_priv;
667         struct task_struct *fe_thread;
668
669         dprintk ("%s\n", __func__);
670
671         if (fepriv->thread) {
672                 if (!fepriv->exit)
673                         return 0;
674                 else
675                         dvb_frontend_stop (fe);
676         }
677
678         if (signal_pending(current))
679                 return -EINTR;
680         if (down_interruptible (&fepriv->sem))
681                 return -EINTR;
682
683         fepriv->state = FESTATE_IDLE;
684         fepriv->exit = 0;
685         fepriv->thread = NULL;
686         mb();
687
688         fe_thread = kthread_run(dvb_frontend_thread, fe,
689                 "kdvb-fe-%i", fe->dvb->num);
690         if (IS_ERR(fe_thread)) {
691                 ret = PTR_ERR(fe_thread);
692                 printk("dvb_frontend_start: failed to start kthread (%d)\n", ret);
693                 up(&fepriv->sem);
694                 return ret;
695         }
696         fepriv->thread = fe_thread;
697         return 0;
698 }
699
700 static void dvb_frontend_get_frequeny_limits(struct dvb_frontend *fe,
701                                         u32 *freq_min, u32 *freq_max)
702 {
703         *freq_min = max(fe->ops.info.frequency_min, fe->ops.tuner_ops.info.frequency_min);
704
705         if (fe->ops.info.frequency_max == 0)
706                 *freq_max = fe->ops.tuner_ops.info.frequency_max;
707         else if (fe->ops.tuner_ops.info.frequency_max == 0)
708                 *freq_max = fe->ops.info.frequency_max;
709         else
710                 *freq_max = min(fe->ops.info.frequency_max, fe->ops.tuner_ops.info.frequency_max);
711
712         if (*freq_min == 0 || *freq_max == 0)
713                 printk(KERN_WARNING "DVB: frontend %u frequency limits undefined - fix the driver\n",
714                        fe->dvb->num);
715 }
716
717 static int dvb_frontend_check_parameters(struct dvb_frontend *fe,
718                                 struct dvb_frontend_parameters *parms)
719 {
720         u32 freq_min;
721         u32 freq_max;
722
723         /* range check: frequency */
724         dvb_frontend_get_frequeny_limits(fe, &freq_min, &freq_max);
725         if ((freq_min && parms->frequency < freq_min) ||
726             (freq_max && parms->frequency > freq_max)) {
727                 printk(KERN_WARNING "DVB: frontend %u frequency %u out of range (%u..%u)\n",
728                        fe->dvb->num, parms->frequency, freq_min, freq_max);
729                 return -EINVAL;
730         }
731
732         /* range check: symbol rate */
733         if (fe->ops.info.type == FE_QPSK) {
734                 if ((fe->ops.info.symbol_rate_min &&
735                      parms->u.qpsk.symbol_rate < fe->ops.info.symbol_rate_min) ||
736                     (fe->ops.info.symbol_rate_max &&
737                      parms->u.qpsk.symbol_rate > fe->ops.info.symbol_rate_max)) {
738                         printk(KERN_WARNING "DVB: frontend %u symbol rate %u out of range (%u..%u)\n",
739                                fe->dvb->num, parms->u.qpsk.symbol_rate,
740                                fe->ops.info.symbol_rate_min, fe->ops.info.symbol_rate_max);
741                         return -EINVAL;
742                 }
743
744         } else if (fe->ops.info.type == FE_QAM) {
745                 if ((fe->ops.info.symbol_rate_min &&
746                      parms->u.qam.symbol_rate < fe->ops.info.symbol_rate_min) ||
747                     (fe->ops.info.symbol_rate_max &&
748                      parms->u.qam.symbol_rate > fe->ops.info.symbol_rate_max)) {
749                         printk(KERN_WARNING "DVB: frontend %u symbol rate %u out of range (%u..%u)\n",
750                                fe->dvb->num, parms->u.qam.symbol_rate,
751                                fe->ops.info.symbol_rate_min, fe->ops.info.symbol_rate_max);
752                         return -EINVAL;
753                 }
754         }
755
756         return 0;
757 }
758
759 struct dtv_cmds_h dtv_cmds[] = {
760         [DTV_TUNE] = {
761                 .name   = "DTV_TUNE",
762                 .cmd    = DTV_TUNE,
763                 .set    = 1,
764         },
765         [DTV_CLEAR] = {
766                 .name   = "DTV_CLEAR",
767                 .cmd    = DTV_CLEAR,
768                 .set    = 1,
769         },
770
771         /* Set */
772         [DTV_FREQUENCY] = {
773                 .name   = "DTV_FREQUENCY",
774                 .cmd    = DTV_FREQUENCY,
775                 .set    = 1,
776         },
777         [DTV_BANDWIDTH_HZ] = {
778                 .name   = "DTV_BANDWIDTH_HZ",
779                 .cmd    = DTV_BANDWIDTH_HZ,
780                 .set    = 1,
781         },
782         [DTV_MODULATION] = {
783                 .name   = "DTV_MODULATION",
784                 .cmd    = DTV_MODULATION,
785                 .set    = 1,
786         },
787         [DTV_INVERSION] = {
788                 .name   = "DTV_INVERSION",
789                 .cmd    = DTV_INVERSION,
790                 .set    = 1,
791         },
792         [DTV_DISEQC_MASTER] = {
793                 .name   = "DTV_DISEQC_MASTER",
794                 .cmd    = DTV_DISEQC_MASTER,
795                 .set    = 1,
796                 .buffer = 1,
797         },
798         [DTV_SYMBOL_RATE] = {
799                 .name   = "DTV_SYMBOL_RATE",
800                 .cmd    = DTV_SYMBOL_RATE,
801                 .set    = 1,
802         },
803         [DTV_INNER_FEC] = {
804                 .name   = "DTV_INNER_FEC",
805                 .cmd    = DTV_INNER_FEC,
806                 .set    = 1,
807         },
808         [DTV_VOLTAGE] = {
809                 .name   = "DTV_VOLTAGE",
810                 .cmd    = DTV_VOLTAGE,
811                 .set    = 1,
812         },
813         [DTV_TONE] = {
814                 .name   = "DTV_TONE",
815                 .cmd    = DTV_TONE,
816                 .set    = 1,
817         },
818         [DTV_PILOT] = {
819                 .name   = "DTV_PILOT",
820                 .cmd    = DTV_PILOT,
821                 .set    = 1,
822         },
823         [DTV_ROLLOFF] = {
824                 .name   = "DTV_ROLLOFF",
825                 .cmd    = DTV_ROLLOFF,
826                 .set    = 1,
827         },
828         [DTV_DELIVERY_SYSTEM] = {
829                 .name   = "DTV_DELIVERY_SYSTEM",
830                 .cmd    = DTV_DELIVERY_SYSTEM,
831                 .set    = 1,
832         },
833         [DTV_CODE_RATE_HP] = {
834                 .name   = "DTV_CODE_RATE_HP",
835                 .cmd    = DTV_CODE_RATE_HP,
836                 .set    = 1,
837         },
838         [DTV_CODE_RATE_LP] = {
839                 .name   = "DTV_CODE_RATE_LP",
840                 .cmd    = DTV_CODE_RATE_LP,
841                 .set    = 1,
842         },
843         [DTV_GUARD_INTERVAL] = {
844                 .name   = "DTV_GUARD_INTERVAL",
845                 .cmd    = DTV_GUARD_INTERVAL,
846                 .set    = 1,
847         },
848         [DTV_TRANSMISSION_MODE] = {
849                 .name   = "DTV_TRANSMISSION_MODE",
850                 .cmd    = DTV_TRANSMISSION_MODE,
851                 .set    = 1,
852         },
853         /* Get */
854         [DTV_DISEQC_SLAVE_REPLY] = {
855                 .name   = "DTV_DISEQC_SLAVE_REPLY",
856                 .cmd    = DTV_DISEQC_SLAVE_REPLY,
857                 .set    = 0,
858                 .buffer = 1,
859         },
860         [DTV_API_VERSION] = {
861                 .name   = "DTV_API_VERSION",
862                 .cmd    = DTV_API_VERSION,
863                 .set    = 0,
864         },
865         [DTV_CODE_RATE_HP] = {
866                 .name   = "DTV_CODE_RATE_HP",
867                 .cmd    = DTV_CODE_RATE_HP,
868                 .set    = 0,
869         },
870         [DTV_CODE_RATE_LP] = {
871                 .name   = "DTV_CODE_RATE_LP",
872                 .cmd    = DTV_CODE_RATE_LP,
873                 .set    = 0,
874         },
875         [DTV_GUARD_INTERVAL] = {
876                 .name   = "DTV_GUARD_INTERVAL",
877                 .cmd    = DTV_GUARD_INTERVAL,
878                 .set    = 0,
879         },
880         [DTV_TRANSMISSION_MODE] = {
881                 .name   = "DTV_TRANSMISSION_MODE",
882                 .cmd    = DTV_TRANSMISSION_MODE,
883                 .set    = 0,
884         },
885 };
886
887 void dtv_property_dump(struct dtv_property *tvp)
888 {
889         int i;
890
891         if (tvp->cmd <= 0 || tvp->cmd > DTV_MAX_COMMAND) {
892                 printk("%s: tvp.cmd = 0x%08x (undefined/unknown/invalid)\n",
893                         __func__, tvp->cmd);
894                 return;
895         }
896
897         printk("%s() tvp.cmd    = 0x%08x (%s)\n"
898                 ,__FUNCTION__
899                 ,tvp->cmd
900                 ,dtv_cmds[ tvp->cmd ].name);
901
902         if(dtv_cmds[ tvp->cmd ].buffer) {
903
904                 printk("%s() tvp.u.buffer.len = 0x%02x\n"
905                         ,__FUNCTION__
906                         ,tvp->u.buffer.len);
907
908                 for(i = 0; i < tvp->u.buffer.len; i++)
909                         printk("%s() tvp.u.buffer.data[0x%02x] = 0x%02x\n"
910                                 ,__FUNCTION__
911                                 ,i
912                                 ,tvp->u.buffer.data[i]);
913
914         } else
915                 printk("%s() tvp.u.data = 0x%08x\n", __FUNCTION__, tvp->u.data);
916 }
917
918 int is_legacy_delivery_system(fe_delivery_system_t s)
919 {
920         if((s == SYS_UNDEFINED) || (s == SYS_DVBC_ANNEX_AC) ||
921                 (s == SYS_DVBC_ANNEX_B) || (s == SYS_DVBT) || (s == SYS_DVBS))
922                 return 1;
923
924         return 0;
925 }
926
927 /* Synchronise the legacy tuning parameters into the cache, so that demodulator
928  * drivers can use a single set_frontend tuning function, regardless of whether
929  * it's being used for the legacy or new API, reducing code and complexity.
930  */
931 void dtv_property_cache_sync(struct dvb_frontend *fe, struct dvb_frontend_parameters *p)
932 {
933         struct dtv_frontend_properties *c = &fe->dtv_property_cache;
934
935         printk("%s()\n", __FUNCTION__);
936
937         c->frequency = p->frequency;
938         c->inversion = p->inversion;
939
940         switch (fe->ops.info.type) {
941         case FE_QPSK:
942                 c->modulation = QPSK;   /* implied for DVB-S in legacy API */
943                 c->rolloff = ROLLOFF_35;/* implied for DVB-S */
944                 c->symbol_rate = p->u.qpsk.symbol_rate;
945                 c->fec_inner = p->u.qpsk.fec_inner;
946                 c->delivery_system = SYS_DVBS;
947                 break;
948         case FE_QAM:
949                 c->symbol_rate = p->u.qam.symbol_rate;
950                 c->fec_inner = p->u.qam.fec_inner;
951                 c->modulation = p->u.qam.modulation;
952                 c->delivery_system = SYS_DVBC_ANNEX_AC;
953                 break;
954         case FE_OFDM:
955                 if (p->u.ofdm.bandwidth == BANDWIDTH_6_MHZ)
956                         c->bandwidth_hz = 6000000;
957                 else if (p->u.ofdm.bandwidth == BANDWIDTH_7_MHZ)
958                         c->bandwidth_hz = 7000000;
959                 else if (p->u.ofdm.bandwidth == BANDWIDTH_8_MHZ)
960                         c->bandwidth_hz = 8000000;
961                 else
962                         /* Including BANDWIDTH_AUTO */
963                         c->bandwidth_hz = 0;
964                 c->code_rate_HP = p->u.ofdm.code_rate_HP;
965                 c->code_rate_LP = p->u.ofdm.code_rate_LP;
966                 c->modulation = p->u.ofdm.constellation;
967                 c->transmission_mode = p->u.ofdm.transmission_mode;
968                 c->guard_interval = p->u.ofdm.guard_interval;
969                 c->hierarchy = p->u.ofdm.hierarchy_information;
970                 c->delivery_system = SYS_DVBT;
971                 break;
972         case FE_ATSC:
973                 c->modulation = p->u.vsb.modulation;
974                 if ((c->modulation == VSB_8) || (c->modulation == VSB_16))
975                         c->delivery_system = SYS_ATSC;
976                 else
977                         c->delivery_system = SYS_DVBC_ANNEX_B;
978                 break;
979         }
980 }
981
982 /* Ensure the cached values are set correctly in the frontend
983  * legacy tuning structures, for the advanced tuning API.
984  */
985 void dtv_property_legacy_params_sync(struct dvb_frontend *fe)
986 {
987         struct dtv_frontend_properties *c = &fe->dtv_property_cache;
988         struct dvb_frontend_private *fepriv = fe->frontend_priv;
989         struct dvb_frontend_parameters *p = &fepriv->parameters;
990
991         printk("%s()\n", __FUNCTION__);
992
993         p->frequency = c->frequency;
994         p->inversion = c->inversion;
995
996         switch (fe->ops.info.type) {
997         case FE_QPSK:
998                 printk("%s() Preparing QPSK req\n", __FUNCTION__);
999                 p->u.qpsk.symbol_rate = c->symbol_rate;
1000                 p->u.qpsk.fec_inner = c->fec_inner;
1001                 c->delivery_system = SYS_DVBS;
1002                 break;
1003         case FE_QAM:
1004                 printk("%s() Preparing QAM req\n", __FUNCTION__);
1005                 p->u.qam.symbol_rate = c->symbol_rate;
1006                 p->u.qam.fec_inner = c->fec_inner;
1007                 p->u.qam.modulation = c->modulation;
1008                 c->delivery_system = SYS_DVBC_ANNEX_AC;
1009                 break;
1010         case FE_OFDM:
1011                 printk("%s() Preparing OFDM req\n", __FUNCTION__);
1012                 if (c->bandwidth_hz == 6000000)
1013                         p->u.ofdm.bandwidth = BANDWIDTH_6_MHZ;
1014                 else if (c->bandwidth_hz == 7000000)
1015                         p->u.ofdm.bandwidth = BANDWIDTH_7_MHZ;
1016                 else if (c->bandwidth_hz == 8000000)
1017                         p->u.ofdm.bandwidth = BANDWIDTH_8_MHZ;
1018                 else
1019                         p->u.ofdm.bandwidth = BANDWIDTH_AUTO;
1020                 p->u.ofdm.code_rate_HP = c->code_rate_HP;
1021                 p->u.ofdm.code_rate_LP = c->code_rate_LP;
1022                 p->u.ofdm.constellation = c->modulation;
1023                 p->u.ofdm.transmission_mode = c->transmission_mode;
1024                 p->u.ofdm.guard_interval = c->guard_interval;
1025                 p->u.ofdm.hierarchy_information = c->hierarchy;
1026                 c->delivery_system = SYS_DVBT;
1027                 break;
1028         case FE_ATSC:
1029                 printk("%s() Preparing VSB req\n", __FUNCTION__);
1030                 p->u.vsb.modulation = c->modulation;
1031                 if ((c->modulation == VSB_8) || (c->modulation == VSB_16))
1032                         c->delivery_system = SYS_ATSC;
1033                 else
1034                         c->delivery_system = SYS_DVBC_ANNEX_B;
1035                 break;
1036         }
1037 }
1038
1039 /* Ensure the cached values are set correctly in the frontend
1040  * legacy tuning structures, for the legacy tuning API.
1041  */
1042 void dtv_property_adv_params_sync(struct dvb_frontend *fe)
1043 {
1044         struct dtv_frontend_properties *c = &fe->dtv_property_cache;
1045         struct dvb_frontend_private *fepriv = fe->frontend_priv;
1046         struct dvb_frontend_parameters *p = &fepriv->parameters;
1047
1048         printk("%s()\n", __FUNCTION__);
1049
1050         p->frequency = c->frequency;
1051         p->inversion = c->inversion;
1052
1053         switch(c->modulation) {
1054         case PSK_8:
1055         case APSK_16:
1056         case QPSK:
1057                 p->u.qpsk.symbol_rate = c->symbol_rate;
1058                 p->u.qpsk.fec_inner = c->fec_inner;
1059                 break;
1060         default:
1061                 break;
1062         }
1063
1064         if(c->delivery_system == SYS_ISDBT) {
1065                 /* Fake out a generic DVB-T request so we pass validation in the ioctl */
1066                 p->frequency = c->frequency;
1067                 p->inversion = INVERSION_AUTO;
1068                 p->u.ofdm.constellation = QAM_AUTO;
1069                 p->u.ofdm.code_rate_HP = FEC_AUTO;
1070                 p->u.ofdm.code_rate_LP = FEC_AUTO;
1071                 p->u.ofdm.bandwidth = BANDWIDTH_AUTO;
1072                 p->u.ofdm.transmission_mode = TRANSMISSION_MODE_AUTO;
1073                 p->u.ofdm.guard_interval = GUARD_INTERVAL_AUTO;
1074                 p->u.ofdm.hierarchy_information = HIERARCHY_AUTO;
1075         }
1076 }
1077
1078 void dtv_property_cache_submit(struct dvb_frontend *fe)
1079 {
1080         struct dtv_frontend_properties *c = &fe->dtv_property_cache;
1081
1082         printk("%s()\n", __FUNCTION__);
1083
1084         /* For legacy delivery systems we don't need the delivery_system to
1085          * be specified, but we populate the older structures from the cache
1086          * so we can call set_frontend on older drivers.
1087          */
1088         if(is_legacy_delivery_system(c->delivery_system)) {
1089
1090                 printk("%s() legacy, modulation = %d\n", __FUNCTION__, c->modulation);
1091                 dtv_property_legacy_params_sync(fe);
1092
1093         } else {
1094                 printk("%s() adv, modulation = %d\n", __FUNCTION__, c->modulation);
1095
1096                 /* For advanced delivery systems / modulation types ...
1097                  * we seed the lecacy dvb_frontend_parameters structure
1098                  * so that the sanity checking code later in the IOCTL processing
1099                  * can validate our basic frequency ranges, symbolrates, modulation
1100                  * etc.
1101                  */
1102                 dtv_property_adv_params_sync(fe);
1103         }
1104 }
1105
1106 static int dvb_frontend_ioctl_legacy(struct inode *inode, struct file *file,
1107                         unsigned int cmd, void *parg);
1108 static int dvb_frontend_ioctl_properties(struct inode *inode, struct file *file,
1109                         unsigned int cmd, void *parg);
1110
1111 int dtv_property_process_get(struct dvb_frontend *fe, struct dtv_property *tvp,
1112         struct inode *inode, struct file *file)
1113 {
1114         int r = 0;
1115
1116         printk("%s()\n", __FUNCTION__);
1117
1118         dtv_property_dump(tvp);
1119
1120         /* Allow the frontend to validate incoming properties */
1121         if (fe->ops.get_property)
1122                 r = fe->ops.get_property(fe, tvp);
1123
1124         if (r < 0)
1125                 return r;
1126
1127         switch(tvp->cmd) {
1128         case DTV_FREQUENCY:
1129                 tvp->u.data = fe->dtv_property_cache.frequency;
1130                 break;
1131         case DTV_MODULATION:
1132                 tvp->u.data = fe->dtv_property_cache.modulation;
1133                 break;
1134         case DTV_BANDWIDTH_HZ:
1135                 tvp->u.data = fe->dtv_property_cache.bandwidth_hz;
1136                 break;
1137         case DTV_INVERSION:
1138                 tvp->u.data = fe->dtv_property_cache.inversion;
1139                 break;
1140         case DTV_SYMBOL_RATE:
1141                 tvp->u.data = fe->dtv_property_cache.symbol_rate;
1142                 break;
1143         case DTV_INNER_FEC:
1144                 tvp->u.data = fe->dtv_property_cache.fec_inner;
1145                 break;
1146         case DTV_PILOT:
1147                 tvp->u.data = fe->dtv_property_cache.pilot;
1148                 break;
1149         case DTV_ROLLOFF:
1150                 tvp->u.data = fe->dtv_property_cache.rolloff;
1151                 break;
1152         case DTV_DELIVERY_SYSTEM:
1153                 tvp->u.data = fe->dtv_property_cache.delivery_system;
1154                 break;
1155         case DTV_VOLTAGE:
1156                 tvp->u.data = fe->dtv_property_cache.voltage;
1157                 break;
1158         case DTV_TONE:
1159                 tvp->u.data = fe->dtv_property_cache.sectone;
1160                 break;
1161         case DTV_API_VERSION:
1162                 tvp->u.data = (DVB_API_VERSION << 8) | DVB_API_VERSION_MINOR;
1163                 break;
1164         case DTV_CODE_RATE_HP:
1165                 tvp->u.data = fe->dtv_property_cache.code_rate_HP;
1166                 break;
1167         case DTV_CODE_RATE_LP:
1168                 tvp->u.data = fe->dtv_property_cache.code_rate_LP;
1169                 break;
1170         case DTV_GUARD_INTERVAL:
1171                 tvp->u.data = fe->dtv_property_cache.guard_interval;
1172                 break;
1173         case DTV_TRANSMISSION_MODE:
1174                 tvp->u.data = fe->dtv_property_cache.transmission_mode;
1175                 break;
1176         default:
1177                 r = -1;
1178         }
1179
1180         return r;
1181 }
1182
1183 int dtv_property_process_set(struct dvb_frontend *fe, struct dtv_property *tvp,
1184         struct inode *inode, struct file *file)
1185 {
1186         int r = 0;
1187         struct dvb_frontend_private *fepriv = fe->frontend_priv;
1188         printk("%s()\n", __FUNCTION__);
1189         dtv_property_dump(tvp);
1190
1191         /* Allow the frontend to validate incoming properties */
1192         if (fe->ops.set_property)
1193                 r = fe->ops.set_property(fe, tvp);
1194
1195         if (r < 0)
1196                 return r;
1197
1198         switch(tvp->cmd) {
1199         case DTV_CLEAR:
1200                 /* Reset a cache of data specific to the frontend here. This does
1201                  * not effect hardware.
1202                  */
1203                 printk("%s() Flushing property cache\n", __FUNCTION__);
1204                 memset(&fe->dtv_property_cache, 0, sizeof(struct dtv_frontend_properties));
1205                 fe->dtv_property_cache.state = tvp->cmd;
1206                 fe->dtv_property_cache.delivery_system = SYS_UNDEFINED;
1207                 break;
1208         case DTV_TUNE:
1209                 /* interpret the cache of data, build either a traditional frontend
1210                  * tunerequest so we can pass validation in the FE_SET_FRONTEND
1211                  * ioctl.
1212                  */
1213                 fe->dtv_property_cache.state = tvp->cmd;
1214                 printk("%s() Finalised property cache\n", __FUNCTION__);
1215                 dtv_property_cache_submit(fe);
1216
1217                 r |= dvb_frontend_ioctl_legacy(inode, file, FE_SET_FRONTEND,
1218                         &fepriv->parameters);
1219                 break;
1220         case DTV_FREQUENCY:
1221                 fe->dtv_property_cache.frequency = tvp->u.data;
1222                 break;
1223         case DTV_MODULATION:
1224                 fe->dtv_property_cache.modulation = tvp->u.data;
1225                 break;
1226         case DTV_BANDWIDTH_HZ:
1227                 fe->dtv_property_cache.bandwidth_hz = tvp->u.data;
1228                 break;
1229         case DTV_INVERSION:
1230                 fe->dtv_property_cache.inversion = tvp->u.data;
1231                 break;
1232         case DTV_SYMBOL_RATE:
1233                 fe->dtv_property_cache.symbol_rate = tvp->u.data;
1234                 break;
1235         case DTV_INNER_FEC:
1236                 fe->dtv_property_cache.fec_inner = tvp->u.data;
1237                 break;
1238         case DTV_PILOT:
1239                 fe->dtv_property_cache.pilot = tvp->u.data;
1240                 break;
1241         case DTV_ROLLOFF:
1242                 fe->dtv_property_cache.rolloff = tvp->u.data;
1243                 break;
1244         case DTV_DELIVERY_SYSTEM:
1245                 fe->dtv_property_cache.delivery_system = tvp->u.data;
1246                 break;
1247         case DTV_VOLTAGE:
1248                 fe->dtv_property_cache.voltage = tvp->u.data;
1249                 r = dvb_frontend_ioctl_legacy(inode, file, FE_SET_VOLTAGE,
1250                         (void *)fe->dtv_property_cache.voltage);
1251                 break;
1252         case DTV_TONE:
1253                 fe->dtv_property_cache.sectone = tvp->u.data;
1254                 r = dvb_frontend_ioctl_legacy(inode, file, FE_SET_TONE,
1255                         (void *)fe->dtv_property_cache.sectone);
1256                 break;
1257         case DTV_CODE_RATE_HP:
1258                 fe->dtv_property_cache.code_rate_HP = tvp->u.data;
1259                 break;
1260         case DTV_CODE_RATE_LP:
1261                 fe->dtv_property_cache.code_rate_LP = tvp->u.data;
1262                 break;
1263         case DTV_GUARD_INTERVAL:
1264                 fe->dtv_property_cache.guard_interval = tvp->u.data;
1265                 break;
1266         case DTV_TRANSMISSION_MODE:
1267                 fe->dtv_property_cache.transmission_mode = tvp->u.data;
1268                 break;
1269         default:
1270                 r = -1;
1271         }
1272
1273         return r;
1274 }
1275
1276 static int dvb_frontend_ioctl(struct inode *inode, struct file *file,
1277                         unsigned int cmd, void *parg)
1278 {
1279         struct dvb_device *dvbdev = file->private_data;
1280         struct dvb_frontend *fe = dvbdev->priv;
1281         struct dvb_frontend_private *fepriv = fe->frontend_priv;
1282         int err = -EOPNOTSUPP;
1283
1284         dprintk ("%s\n", __func__);
1285
1286         if (fepriv->exit)
1287                 return -ENODEV;
1288
1289         if ((file->f_flags & O_ACCMODE) == O_RDONLY &&
1290             (_IOC_DIR(cmd) != _IOC_READ || cmd == FE_GET_EVENT ||
1291              cmd == FE_DISEQC_RECV_SLAVE_REPLY))
1292                 return -EPERM;
1293
1294         if (down_interruptible (&fepriv->sem))
1295                 return -ERESTARTSYS;
1296
1297         if ((cmd == FE_SET_PROPERTY) || (cmd == FE_GET_PROPERTY))
1298                 err = dvb_frontend_ioctl_properties(inode, file, cmd, parg);
1299         else {
1300                 fe->dtv_property_cache.state = DTV_UNDEFINED;
1301                 err = dvb_frontend_ioctl_legacy(inode, file, cmd, parg);
1302         }
1303
1304         up(&fepriv->sem);
1305         return err;
1306 }
1307
1308 static int dvb_frontend_ioctl_properties(struct inode *inode, struct file *file,
1309                         unsigned int cmd, void *parg)
1310 {
1311         struct dvb_device *dvbdev = file->private_data;
1312         struct dvb_frontend *fe = dvbdev->priv;
1313         int err = 0;
1314
1315         struct dtv_properties *tvps = NULL;
1316         struct dtv_property *tvp = NULL;
1317         int i;
1318
1319         dprintk("%s\n", __func__);
1320
1321         if(cmd == FE_SET_PROPERTY) {
1322                 printk("%s() FE_SET_PROPERTY\n", __FUNCTION__);
1323
1324                 tvps = (struct dtv_properties __user *)parg;
1325
1326                 printk("%s() properties.num = %d\n", __FUNCTION__, tvps->num);
1327                 printk("%s() properties.props = %p\n", __FUNCTION__, tvps->props);
1328
1329                 /* Put an arbitrary limit on the number of messages that can
1330                  * be sent at once */
1331                 if (tvps->num > DTV_IOCTL_MAX_MSGS)
1332                         return -EINVAL;
1333
1334                 tvp = (struct dtv_property *) kmalloc(tvps->num *
1335                         sizeof(struct dtv_property), GFP_KERNEL);
1336                 if (!tvp) {
1337                         err = -ENOMEM;
1338                         goto out;
1339                 }
1340
1341                 if (copy_from_user(tvp, tvps->props, tvps->num * sizeof(struct dtv_property))) {
1342                         err = -EFAULT;
1343                         goto out;
1344                 }
1345
1346                 for (i = 0; i < tvps->num; i++) {
1347                         (tvp + i)->result = dtv_property_process_set(fe, tvp + i, inode, file);
1348                         err |= (tvp + i)->result;
1349                 }
1350
1351                 if(fe->dtv_property_cache.state == DTV_TUNE) {
1352                         printk("%s() Property cache is full, tuning\n", __FUNCTION__);
1353                 }
1354
1355         } else
1356         if(cmd == FE_GET_PROPERTY) {
1357                 printk("%s() FE_GET_PROPERTY\n", __FUNCTION__);
1358
1359                 tvps = (struct dtv_properties __user *)parg;
1360
1361                 printk("%s() properties.num = %d\n", __FUNCTION__, tvps->num);
1362                 printk("%s() properties.props = %p\n", __FUNCTION__, tvps->props);
1363
1364                 /* Put an arbitrary limit on the number of messages that can
1365                  * be sent at once */
1366                 if (tvps->num > DTV_IOCTL_MAX_MSGS)
1367                         return -EINVAL;
1368
1369                 tvp = (struct dtv_property *) kmalloc(tvps->num *
1370                         sizeof(struct dtv_property), GFP_KERNEL);
1371                 if (!tvp) {
1372                         err = -ENOMEM;
1373                         goto out;
1374                 }
1375
1376                 if (copy_from_user(tvp, tvps->props, tvps->num * sizeof(struct dtv_property))) {
1377                         err = -EFAULT;
1378                         goto out;
1379                 }
1380
1381                 for (i = 0; i < tvps->num; i++) {
1382                         (tvp + i)->result = dtv_property_process_get(fe, tvp + i, inode, file);
1383                         err |= (tvp + i)->result;
1384                 }
1385
1386                 if (copy_to_user(tvps->props, tvp, tvps->num * sizeof(struct dtv_property))) {
1387                         err = -EFAULT;
1388                         goto out;
1389                 }
1390
1391         } else
1392                 err = -EOPNOTSUPP;
1393
1394 out:
1395         kfree(tvp);
1396         return err;
1397 }
1398
1399 static int dvb_frontend_ioctl_legacy(struct inode *inode, struct file *file,
1400                         unsigned int cmd, void *parg)
1401 {
1402         struct dvb_device *dvbdev = file->private_data;
1403         struct dvb_frontend *fe = dvbdev->priv;
1404         struct dvb_frontend_private *fepriv = fe->frontend_priv;
1405         int err = -EOPNOTSUPP;
1406
1407         switch (cmd) {
1408         case FE_GET_INFO: {
1409                 struct dvb_frontend_info* info = parg;
1410                 memcpy(info, &fe->ops.info, sizeof(struct dvb_frontend_info));
1411                 dvb_frontend_get_frequeny_limits(fe, &info->frequency_min, &info->frequency_max);
1412
1413                 /* Force the CAN_INVERSION_AUTO bit on. If the frontend doesn't
1414                  * do it, it is done for it. */
1415                 info->caps |= FE_CAN_INVERSION_AUTO;
1416                 err = 0;
1417                 break;
1418         }
1419
1420         case FE_READ_STATUS: {
1421                 fe_status_t* status = parg;
1422
1423                 /* if retune was requested but hasn't occured yet, prevent
1424                  * that user get signal state from previous tuning */
1425                 if(fepriv->state == FESTATE_RETUNE) {
1426                         err=0;
1427                         *status = 0;
1428                         break;
1429                 }
1430
1431                 if (fe->ops.read_status)
1432                         err = fe->ops.read_status(fe, status);
1433                 break;
1434         }
1435         case FE_READ_BER:
1436                 if (fe->ops.read_ber)
1437                         err = fe->ops.read_ber(fe, (__u32*) parg);
1438                 break;
1439
1440         case FE_READ_SIGNAL_STRENGTH:
1441                 if (fe->ops.read_signal_strength)
1442                         err = fe->ops.read_signal_strength(fe, (__u16*) parg);
1443                 break;
1444
1445         case FE_READ_SNR:
1446                 if (fe->ops.read_snr)
1447                         err = fe->ops.read_snr(fe, (__u16*) parg);
1448                 break;
1449
1450         case FE_READ_UNCORRECTED_BLOCKS:
1451                 if (fe->ops.read_ucblocks)
1452                         err = fe->ops.read_ucblocks(fe, (__u32*) parg);
1453                 break;
1454
1455
1456         case FE_DISEQC_RESET_OVERLOAD:
1457                 if (fe->ops.diseqc_reset_overload) {
1458                         err = fe->ops.diseqc_reset_overload(fe);
1459                         fepriv->state = FESTATE_DISEQC;
1460                         fepriv->status = 0;
1461                 }
1462                 break;
1463
1464         case FE_DISEQC_SEND_MASTER_CMD:
1465                 if (fe->ops.diseqc_send_master_cmd) {
1466                         err = fe->ops.diseqc_send_master_cmd(fe, (struct dvb_diseqc_master_cmd*) parg);
1467                         fepriv->state = FESTATE_DISEQC;
1468                         fepriv->status = 0;
1469                 }
1470                 break;
1471
1472         case FE_DISEQC_SEND_BURST:
1473                 if (fe->ops.diseqc_send_burst) {
1474                         err = fe->ops.diseqc_send_burst(fe, (fe_sec_mini_cmd_t) parg);
1475                         fepriv->state = FESTATE_DISEQC;
1476                         fepriv->status = 0;
1477                 }
1478                 break;
1479
1480         case FE_SET_TONE:
1481                 if (fe->ops.set_tone) {
1482                         err = fe->ops.set_tone(fe, (fe_sec_tone_mode_t) parg);
1483                         fepriv->tone = (fe_sec_tone_mode_t) parg;
1484                         fepriv->state = FESTATE_DISEQC;
1485                         fepriv->status = 0;
1486                 }
1487                 break;
1488
1489         case FE_SET_VOLTAGE:
1490                 if (fe->ops.set_voltage) {
1491                         err = fe->ops.set_voltage(fe, (fe_sec_voltage_t) parg);
1492                         fepriv->voltage = (fe_sec_voltage_t) parg;
1493                         fepriv->state = FESTATE_DISEQC;
1494                         fepriv->status = 0;
1495                 }
1496                 break;
1497
1498         case FE_DISHNETWORK_SEND_LEGACY_CMD:
1499                 if (fe->ops.dishnetwork_send_legacy_command) {
1500                         err = fe->ops.dishnetwork_send_legacy_command(fe, (unsigned long) parg);
1501                         fepriv->state = FESTATE_DISEQC;
1502                         fepriv->status = 0;
1503                 } else if (fe->ops.set_voltage) {
1504                         /*
1505                          * NOTE: This is a fallback condition.  Some frontends
1506                          * (stv0299 for instance) take longer than 8msec to
1507                          * respond to a set_voltage command.  Those switches
1508                          * need custom routines to switch properly.  For all
1509                          * other frontends, the following shoule work ok.
1510                          * Dish network legacy switches (as used by Dish500)
1511                          * are controlled by sending 9-bit command words
1512                          * spaced 8msec apart.
1513                          * the actual command word is switch/port dependant
1514                          * so it is up to the userspace application to send
1515                          * the right command.
1516                          * The command must always start with a '0' after
1517                          * initialization, so parg is 8 bits and does not
1518                          * include the initialization or start bit
1519                          */
1520                         unsigned long swcmd = ((unsigned long) parg) << 1;
1521                         struct timeval nexttime;
1522                         struct timeval tv[10];
1523                         int i;
1524                         u8 last = 1;
1525                         if (dvb_frontend_debug)
1526                                 printk("%s switch command: 0x%04lx\n", __func__, swcmd);
1527                         do_gettimeofday(&nexttime);
1528                         if (dvb_frontend_debug)
1529                                 memcpy(&tv[0], &nexttime, sizeof(struct timeval));
1530                         /* before sending a command, initialize by sending
1531                          * a 32ms 18V to the switch
1532                          */
1533                         fe->ops.set_voltage(fe, SEC_VOLTAGE_18);
1534                         dvb_frontend_sleep_until(&nexttime, 32000);
1535
1536                         for (i = 0; i < 9; i++) {
1537                                 if (dvb_frontend_debug)
1538                                         do_gettimeofday(&tv[i + 1]);
1539                                 if ((swcmd & 0x01) != last) {
1540                                         /* set voltage to (last ? 13V : 18V) */
1541                                         fe->ops.set_voltage(fe, (last) ? SEC_VOLTAGE_13 : SEC_VOLTAGE_18);
1542                                         last = (last) ? 0 : 1;
1543                                 }
1544                                 swcmd = swcmd >> 1;
1545                                 if (i != 8)
1546                                         dvb_frontend_sleep_until(&nexttime, 8000);
1547                         }
1548                         if (dvb_frontend_debug) {
1549                                 printk("%s(%d): switch delay (should be 32k followed by all 8k\n",
1550                                         __func__, fe->dvb->num);
1551                                 for (i = 1; i < 10; i++)
1552                                         printk("%d: %d\n", i, timeval_usec_diff(tv[i-1] , tv[i]));
1553                         }
1554                         err = 0;
1555                         fepriv->state = FESTATE_DISEQC;
1556                         fepriv->status = 0;
1557                 }
1558                 break;
1559
1560         case FE_DISEQC_RECV_SLAVE_REPLY:
1561                 if (fe->ops.diseqc_recv_slave_reply)
1562                         err = fe->ops.diseqc_recv_slave_reply(fe, (struct dvb_diseqc_slave_reply*) parg);
1563                 break;
1564
1565         case FE_ENABLE_HIGH_LNB_VOLTAGE:
1566                 if (fe->ops.enable_high_lnb_voltage)
1567                         err = fe->ops.enable_high_lnb_voltage(fe, (long) parg);
1568                 break;
1569
1570         case FE_SET_FRONTEND: {
1571                 struct dvb_frontend_tune_settings fetunesettings;
1572
1573                 if(fe->dtv_property_cache.state == DTV_TUNE) {
1574                         if (dvb_frontend_check_parameters(fe, &fepriv->parameters) < 0) {
1575                                 err = -EINVAL;
1576                                 break;
1577                         }
1578                 } else {
1579                         if (dvb_frontend_check_parameters(fe, parg) < 0) {
1580                                 err = -EINVAL;
1581                                 break;
1582                         }
1583
1584                         memcpy (&fepriv->parameters, parg,
1585                                 sizeof (struct dvb_frontend_parameters));
1586                         dtv_property_cache_sync(fe, &fepriv->parameters);
1587                 }
1588
1589                 memset(&fetunesettings, 0, sizeof(struct dvb_frontend_tune_settings));
1590                 memcpy(&fetunesettings.parameters, parg,
1591                        sizeof (struct dvb_frontend_parameters));
1592
1593                 /* force auto frequency inversion if requested */
1594                 if (dvb_force_auto_inversion) {
1595                         fepriv->parameters.inversion = INVERSION_AUTO;
1596                         fetunesettings.parameters.inversion = INVERSION_AUTO;
1597                 }
1598                 if (fe->ops.info.type == FE_OFDM) {
1599                         /* without hierarchical coding code_rate_LP is irrelevant,
1600                          * so we tolerate the otherwise invalid FEC_NONE setting */
1601                         if (fepriv->parameters.u.ofdm.hierarchy_information == HIERARCHY_NONE &&
1602                             fepriv->parameters.u.ofdm.code_rate_LP == FEC_NONE)
1603                                 fepriv->parameters.u.ofdm.code_rate_LP = FEC_AUTO;
1604                 }
1605
1606                 /* get frontend-specific tuning settings */
1607                 if (fe->ops.get_tune_settings && (fe->ops.get_tune_settings(fe, &fetunesettings) == 0)) {
1608                         fepriv->min_delay = (fetunesettings.min_delay_ms * HZ) / 1000;
1609                         fepriv->max_drift = fetunesettings.max_drift;
1610                         fepriv->step_size = fetunesettings.step_size;
1611                 } else {
1612                         /* default values */
1613                         switch(fe->ops.info.type) {
1614                         case FE_QPSK:
1615                                 fepriv->min_delay = HZ/20;
1616                                 fepriv->step_size = fepriv->parameters.u.qpsk.symbol_rate / 16000;
1617                                 fepriv->max_drift = fepriv->parameters.u.qpsk.symbol_rate / 2000;
1618                                 break;
1619
1620                         case FE_QAM:
1621                                 fepriv->min_delay = HZ/20;
1622                                 fepriv->step_size = 0; /* no zigzag */
1623                                 fepriv->max_drift = 0;
1624                                 break;
1625
1626                         case FE_OFDM:
1627                                 fepriv->min_delay = HZ/20;
1628                                 fepriv->step_size = fe->ops.info.frequency_stepsize * 2;
1629                                 fepriv->max_drift = (fe->ops.info.frequency_stepsize * 2) + 1;
1630                                 break;
1631                         case FE_ATSC:
1632                                 fepriv->min_delay = HZ/20;
1633                                 fepriv->step_size = 0;
1634                                 fepriv->max_drift = 0;
1635                                 break;
1636                         }
1637                 }
1638                 if (dvb_override_tune_delay > 0)
1639                         fepriv->min_delay = (dvb_override_tune_delay * HZ) / 1000;
1640
1641                 fepriv->state = FESTATE_RETUNE;
1642                 dvb_frontend_wakeup(fe);
1643                 dvb_frontend_add_event(fe, 0);
1644                 fepriv->status = 0;
1645                 err = 0;
1646                 break;
1647         }
1648
1649         case FE_GET_EVENT:
1650                 err = dvb_frontend_get_event (fe, parg, file->f_flags);
1651                 break;
1652
1653         case FE_GET_FRONTEND:
1654                 if (fe->ops.get_frontend) {
1655                         memcpy (parg, &fepriv->parameters, sizeof (struct dvb_frontend_parameters));
1656                         err = fe->ops.get_frontend(fe, (struct dvb_frontend_parameters*) parg);
1657                 }
1658                 break;
1659
1660         case FE_SET_FRONTEND_TUNE_MODE:
1661                 fepriv->tune_mode_flags = (unsigned long) parg;
1662                 err = 0;
1663                 break;
1664         };
1665
1666         return err;
1667 }
1668
1669
1670 static unsigned int dvb_frontend_poll(struct file *file, struct poll_table_struct *wait)
1671 {
1672         struct dvb_device *dvbdev = file->private_data;
1673         struct dvb_frontend *fe = dvbdev->priv;
1674         struct dvb_frontend_private *fepriv = fe->frontend_priv;
1675
1676         dprintk ("%s\n", __func__);
1677
1678         poll_wait (file, &fepriv->events.wait_queue, wait);
1679
1680         if (fepriv->events.eventw != fepriv->events.eventr)
1681                 return (POLLIN | POLLRDNORM | POLLPRI);
1682
1683         return 0;
1684 }
1685
1686 static int dvb_frontend_open(struct inode *inode, struct file *file)
1687 {
1688         struct dvb_device *dvbdev = file->private_data;
1689         struct dvb_frontend *fe = dvbdev->priv;
1690         struct dvb_frontend_private *fepriv = fe->frontend_priv;
1691         int ret;
1692
1693         dprintk ("%s\n", __func__);
1694
1695         if (dvbdev->users == -1 && fe->ops.ts_bus_ctrl) {
1696                 if ((ret = fe->ops.ts_bus_ctrl(fe, 1)) < 0)
1697                         return ret;
1698         }
1699
1700         if ((ret = dvb_generic_open (inode, file)) < 0)
1701                 goto err1;
1702
1703         if ((file->f_flags & O_ACCMODE) != O_RDONLY) {
1704                 /* normal tune mode when opened R/W */
1705                 fepriv->tune_mode_flags &= ~FE_TUNE_MODE_ONESHOT;
1706                 fepriv->tone = -1;
1707                 fepriv->voltage = -1;
1708
1709                 ret = dvb_frontend_start (fe);
1710                 if (ret)
1711                         goto err2;
1712
1713                 /*  empty event queue */
1714                 fepriv->events.eventr = fepriv->events.eventw = 0;
1715         }
1716
1717         return ret;
1718
1719 err2:
1720         dvb_generic_release(inode, file);
1721 err1:
1722         if (dvbdev->users == -1 && fe->ops.ts_bus_ctrl)
1723                 fe->ops.ts_bus_ctrl(fe, 0);
1724         return ret;
1725 }
1726
1727 static int dvb_frontend_release(struct inode *inode, struct file *file)
1728 {
1729         struct dvb_device *dvbdev = file->private_data;
1730         struct dvb_frontend *fe = dvbdev->priv;
1731         struct dvb_frontend_private *fepriv = fe->frontend_priv;
1732         int ret;
1733
1734         dprintk ("%s\n", __func__);
1735
1736         if ((file->f_flags & O_ACCMODE) != O_RDONLY)
1737                 fepriv->release_jiffies = jiffies;
1738
1739         ret = dvb_generic_release (inode, file);
1740
1741         if (dvbdev->users == -1) {
1742                 if (fepriv->exit == 1) {
1743                         fops_put(file->f_op);
1744                         file->f_op = NULL;
1745                         wake_up(&dvbdev->wait_queue);
1746                 }
1747                 if (fe->ops.ts_bus_ctrl)
1748                         fe->ops.ts_bus_ctrl(fe, 0);
1749         }
1750
1751         return ret;
1752 }
1753
1754 static struct file_operations dvb_frontend_fops = {
1755         .owner          = THIS_MODULE,
1756         .ioctl          = dvb_generic_ioctl,
1757         .poll           = dvb_frontend_poll,
1758         .open           = dvb_frontend_open,
1759         .release        = dvb_frontend_release
1760 };
1761
1762 int dvb_register_frontend(struct dvb_adapter* dvb,
1763                           struct dvb_frontend* fe)
1764 {
1765         struct dvb_frontend_private *fepriv;
1766         static const struct dvb_device dvbdev_template = {
1767                 .users = ~0,
1768                 .writers = 1,
1769                 .readers = (~0)-1,
1770                 .fops = &dvb_frontend_fops,
1771                 .kernel_ioctl = dvb_frontend_ioctl
1772         };
1773
1774         dprintk ("%s\n", __func__);
1775
1776         if (mutex_lock_interruptible(&frontend_mutex))
1777                 return -ERESTARTSYS;
1778
1779         fe->frontend_priv = kzalloc(sizeof(struct dvb_frontend_private), GFP_KERNEL);
1780         if (fe->frontend_priv == NULL) {
1781                 mutex_unlock(&frontend_mutex);
1782                 return -ENOMEM;
1783         }
1784         fepriv = fe->frontend_priv;
1785
1786         init_MUTEX (&fepriv->sem);
1787         init_waitqueue_head (&fepriv->wait_queue);
1788         init_waitqueue_head (&fepriv->events.wait_queue);
1789         mutex_init(&fepriv->events.mtx);
1790         fe->dvb = dvb;
1791         fepriv->inversion = INVERSION_OFF;
1792
1793         printk ("DVB: registering frontend %i (%s)...\n",
1794                 fe->dvb->num,
1795                 fe->ops.info.name);
1796
1797         dvb_register_device (fe->dvb, &fepriv->dvbdev, &dvbdev_template,
1798                              fe, DVB_DEVICE_FRONTEND);
1799
1800         mutex_unlock(&frontend_mutex);
1801         return 0;
1802 }
1803 EXPORT_SYMBOL(dvb_register_frontend);
1804
1805 int dvb_unregister_frontend(struct dvb_frontend* fe)
1806 {
1807         struct dvb_frontend_private *fepriv = fe->frontend_priv;
1808         dprintk ("%s\n", __func__);
1809
1810         mutex_lock(&frontend_mutex);
1811         dvb_frontend_stop (fe);
1812         mutex_unlock(&frontend_mutex);
1813
1814         if (fepriv->dvbdev->users < -1)
1815                 wait_event(fepriv->dvbdev->wait_queue,
1816                                 fepriv->dvbdev->users==-1);
1817
1818         mutex_lock(&frontend_mutex);
1819         dvb_unregister_device (fepriv->dvbdev);
1820
1821         /* fe is invalid now */
1822         kfree(fepriv);
1823         mutex_unlock(&frontend_mutex);
1824         return 0;
1825 }
1826 EXPORT_SYMBOL(dvb_unregister_frontend);
1827
1828 #ifdef CONFIG_MEDIA_ATTACH
1829 void dvb_frontend_detach(struct dvb_frontend* fe)
1830 {
1831         void *ptr;
1832
1833         if (fe->ops.release_sec) {
1834                 fe->ops.release_sec(fe);
1835                 symbol_put_addr(fe->ops.release_sec);
1836         }
1837         if (fe->ops.tuner_ops.release) {
1838                 fe->ops.tuner_ops.release(fe);
1839                 symbol_put_addr(fe->ops.tuner_ops.release);
1840         }
1841         if (fe->ops.analog_ops.release) {
1842                 fe->ops.analog_ops.release(fe);
1843                 symbol_put_addr(fe->ops.analog_ops.release);
1844         }
1845         ptr = (void*)fe->ops.release;
1846         if (ptr) {
1847                 fe->ops.release(fe);
1848                 symbol_put_addr(ptr);
1849         }
1850 }
1851 #else
1852 void dvb_frontend_detach(struct dvb_frontend* fe)
1853 {
1854         if (fe->ops.release_sec)
1855                 fe->ops.release_sec(fe);
1856         if (fe->ops.tuner_ops.release)
1857                 fe->ops.tuner_ops.release(fe);
1858         if (fe->ops.analog_ops.release)
1859                 fe->ops.analog_ops.release(fe);
1860         if (fe->ops.release)
1861                 fe->ops.release(fe);
1862 }
1863 #endif
1864 EXPORT_SYMBOL(dvb_frontend_detach);