3c90e47ac89650af04a2801066e1cfc3bb964428
[firefly-linux-kernel-4.4.55.git] / drivers / media / video / mt9t111.c
1 /*
2  * Driver for OV5642 CMOS Image Sensor from OmniVision
3  *
4  * Copyright (C) 2008, Guennadi Liakhovetski <kernel@pengutronix.de>
5  *
6  * This program is free software; you can redistribute it and/or modify
7  * it under the terms of the GNU General Public License version 2 as
8  * published by the Free Software Foundation.
9  */
10
11 #include <linux/videodev2.h>
12 #include <linux/slab.h>
13 #include <linux/i2c.h>
14 #include <linux/log2.h>
15 #include <linux/platform_device.h>
16 #include <linux/delay.h>
17 #include <linux/circ_buf.h>
18 #include <linux/hardirq.h>
19 #include <linux/miscdevice.h>
20 #include <media/v4l2-common.h>
21 #include <media/v4l2-chip-ident.h>
22 #include <media/soc_camera.h>
23 #include <mach/rk29_camera.h>
24 #include "mt9t111.h"
25
26 static int debug;
27 module_param(debug, int, S_IRUGO|S_IWUSR);
28
29 #define dprintk(level, fmt, arg...) do {                        \
30         if (debug >= level)                                     \
31         printk(KERN_WARNING fmt , ## arg); } while (0)
32
33 #define SENSOR_TR(format, ...) printk(KERN_ERR format, ## __VA_ARGS__)
34 #define SENSOR_DG(format, ...) dprintk(0, format, ## __VA_ARGS__)
35
36 #define _CONS(a,b) a##b
37 #define CONS(a,b) _CONS(a,b)
38
39 #define __STR(x) #x
40 #define _STR(x) __STR(x)
41 #define STR(x) _STR(x)
42
43 #define MIN(x,y)   ((x<y) ? x: y)
44 #define MAX(x,y)    ((x>y) ? x: y)
45
46 /* Sensor Driver Configuration */
47 #define SENSOR_NAME RK29_CAM_SENSOR_MT9T111
48 #define SENSOR_V4L2_IDENT V4L2_IDENT_MT9T111
49 #define SENSOR_ID SEQUENCE_END
50 #define SENSOR_ID_REG SEQUENCE_END
51 #define SENSOR_RESET_REG 0x001a
52 #define SENSOR_RESET_VAL 0x0018
53 #define SENSOR_RESET_REG_LEN  WORD_LEN
54 #define SENSOR_MIN_WIDTH    176
55 #define SENSOR_MIN_HEIGHT   144
56 #define SENSOR_MAX_WIDTH    2048
57 #define SENSOR_MAX_HEIGHT   1536
58 #define SENSOR_INIT_WIDTH       640                     /* Sensor pixel size for sensor_init_data array */
59 #define SENSOR_INIT_HEIGHT  480
60 #define SENSOR_INIT_WINSEQADR sensor_vga
61 #define SENSOR_INIT_PIXFMT V4L2_PIX_FMT_UYVY
62
63 #define CONFIG_SENSOR_WhiteBalance      1
64 #define CONFIG_SENSOR_Brightness        0
65 #define CONFIG_SENSOR_Contrast      0
66 #define CONFIG_SENSOR_Saturation    0
67 #define CONFIG_SENSOR_Effect        1
68 #define CONFIG_SENSOR_Scene         1
69 #define CONFIG_SENSOR_DigitalZoom   0
70 #define CONFIG_SENSOR_Exposure      1
71 #define CONFIG_SENSOR_Flash         1
72 #define CONFIG_SENSOR_Mirror        0
73 #define CONFIG_SENSOR_Flip          1
74 #define CONFIG_SENSOR_Focus         1
75
76 /*Sensor write registers at a time*/
77 #define CONFIG_SENSOR_WRITE_REGS  1
78
79
80 #define CONFIG_SENSOR_I2C_SPEED     350000       /* Hz */
81 /* Sensor write register continues by preempt_disable/preempt_enable for current process not be scheduled */
82 #define CONFIG_SENSOR_I2C_NOSCHED   0
83 #define CONFIG_SENSOR_I2C_RDWRCHK   0
84
85
86 #define SENSOR_BUS_PARAM  (SOCAM_MASTER | SOCAM_PCLK_SAMPLE_RISING|\
87                           SOCAM_HSYNC_ACTIVE_HIGH | SOCAM_VSYNC_ACTIVE_HIGH|\
88                           SOCAM_DATA_ACTIVE_HIGH | SOCAM_DATAWIDTH_8  |SOCAM_MCLK_24MHZ)
89
90 #define COLOR_TEMPERATURE_CLOUDY_DN    6500
91 #define COLOR_TEMPERATURE_CLOUDY_UP    8000
92 #define COLOR_TEMPERATURE_CLEARDAY_DN  5000
93 #define COLOR_TEMPERATURE_CLEARDAY_UP    6500
94 #define COLOR_TEMPERATURE_OFFICE_DN     3500
95 #define COLOR_TEMPERATURE_OFFICE_UP     5000
96 #define COLOR_TEMPERATURE_HOME_DN       2500
97 #define COLOR_TEMPERATURE_HOME_UP       3500
98
99 #define SENSOR_NAME_STRING(a) STR(CONS(SENSOR_NAME, a))
100 #define SENSOR_NAME_VARFUN(a) CONS(SENSOR_NAME, a)
101
102 #define SENSOR_AF_IS_ERR    (0x00<<0)
103 #define SENSOR_AF_IS_OK         (0x01<<0)
104
105 #if CONFIG_SENSOR_Focus
106 #define SENSOR_AF_MODE_INFINITY    0
107 #define SENSOR_AF_MODE_MACRO       1
108 #define SENSOR_AF_MODE_FIXED       2
109 #define SENSOR_AF_MODE_AUTO        3
110 #define SENSOR_AF_MODE_CONTINUOUS  4
111 #define SENSOR_AF_MODE_CLOSE       5
112 #endif
113
114 /* init 640X480 VGA */
115 static struct reginfo sensor_init_data[] =
116 {
117 //[Step2-PLL_Timing]
118 //for 24MHz input, VCO=MAX PCLK=76.8MHz
119 #if 0
120 { 0x001A, 0x0019, WORD_LEN, 0 },        // RESET_AND_MISC_CONTROL
121 { 0x001A, 0x0018, WORD_LEN, 0 },        // RESET_AND_MISC_CONTROL
122 { 0x0014, 0x2425, WORD_LEN, 0 },        // PLL_CONTROL
123 { 0x0014, 0x2425, WORD_LEN, 0 },        // PLL_CONTROL
124 { 0x0014, 0x2145, WORD_LEN, 0 },        // PLL_CONTROL
125 { 0x0010, 0x0110, WORD_LEN, 0 },        // PLL_DIVIDERS
126 { 0x0012, 0x0070, WORD_LEN, 0 },        // PLL_P_DIVIDERS
127 { 0x002A, 0x77CC, WORD_LEN, 0 },        // PLL_P4_P5_P6_DIVIDERS
128 { 0x001A, 0x0218, WORD_LEN, 0 },        // RESET_AND_MISC_CONTROL
129 { 0x0014, 0x2545, WORD_LEN, 0 },        // PLL_CONTROL
130 { 0x0014, 0x2547, WORD_LEN, 0 },        // PLL_CONTROL
131 { 0x0014, 0x2447, WORD_LEN, 0 },        // PLL_CONTROL
132 { 0x0014, 0x2047, WORD_LEN, 0 },        // PLL_CONTROL 
133         
134 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
135
136 { 0x0014, 0x2046, WORD_LEN, 0 },        // PLL_CONTROL
137 { 0x0022, 0x01E0, WORD_LEN, 0 },        // VDD_DIS_COUNTER
138 { 0x001E, 0x0777, WORD_LEN, 0 },        // PAD_SLEW_PAD_CONFIG
139 { 0x0016, 0x0400, WORD_LEN, 0 },        // CLOCKS_CONTROL
140 { 0x3B84, 0x0127, WORD_LEN, 0 },        // I2C_MASTER_FREQUENCY_DIVIDER
141 { 0x001E, 0x0777, WORD_LEN, 0 },        // PAD_SLEW_PAD_CONFIG
142 { 0x0018, 0x402D, WORD_LEN, 0 },        // STANDBY_CONTROL_AND_STATUS
143 { 0x0018, 0x402C, WORD_LEN, 0 },        // STANDBY_CONTROL_AND_STATUS
144         
145 { SEQUENCE_WAIT_MS,50, WORD_LEN, 0},
146
147 { 0x098E, 0x6800, WORD_LEN, 0 },        // MCU_ADDRESS
148 { 0x0990, 0x0280, WORD_LEN, 0 },        // MCU_DATA_0
149 { 0x098E, 0x6802, WORD_LEN, 0 },        // MCU_ADDRESS
150 { 0x0990, 0x01E0, WORD_LEN, 0 },        // MCU_DATA_0
151 { 0x098E, 0xE88E, WORD_LEN, 0 },        // MCU_ADDRESS
152 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
153 { 0x098E, 0x68A0, WORD_LEN, 0 },        // MCU_ADDRESS
154 { 0x0990, 0x082D, WORD_LEN, 0 },        // MCU_DATA_0
155 { 0x098E, 0x4802, WORD_LEN, 0 },        // MCU_ADDRESS
156 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
157 { 0x098E, 0x4804, WORD_LEN, 0 },        // MCU_ADDRESS
158 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
159 { 0x098E, 0x4806, WORD_LEN, 0 },        // MCU_ADDRESS
160 { 0x0990, 0x060D, WORD_LEN, 0 },        // MCU_DATA_0
161 { 0x098E, 0x4808, WORD_LEN, 0 },        // MCU_ADDRESS
162 { 0x0990, 0x080D, WORD_LEN, 0 },        // MCU_DATA_0
163 { 0x098E, 0x480A, WORD_LEN, 0 },        // MCU_ADDRESS
164 { 0x0990, 0x0111, WORD_LEN, 0 },        // MCU_DATA_0
165 { 0x098E, 0x480C, WORD_LEN, 0 },        // MCU_ADDRESS
166 { 0x0990, 0x046C, WORD_LEN, 0 },        // MCU_DATA_0
167 { 0x098E, 0x480F, WORD_LEN, 0 },        // MCU_ADDRESS
168 { 0x0990, 0x00CC, WORD_LEN, 0 },        // MCU_DATA_0
169 { 0x098E, 0x4811, WORD_LEN, 0 },        // MCU_ADDRESS
170 { 0x0990, 0x0381, WORD_LEN, 0 },        // MCU_DATA_0
171 { 0x098E, 0x4813, WORD_LEN, 0 },        // MCU_ADDRESS
172 { 0x0990, 0x024F, WORD_LEN, 0 },        // MCU_DATA_0
173 { 0x098E, 0x481D, WORD_LEN, 0 },        // MCU_ADDRESS
174 { 0x0990, 0x03EA, WORD_LEN, 0 },        // MCU_DATA_0
175 { 0x098E, 0x481F, WORD_LEN, 0 },        // MCU_ADDRESS
176 { 0x0990, 0x05D0, WORD_LEN, 0 },        // MCU_DATA_0
177 { 0x098E, 0x4825, WORD_LEN, 0 },        // MCU_ADDRESS
178 { 0x0990, 0x07AC, WORD_LEN, 0 },        // MCU_DATA_0
179 { 0x098E, 0x482B, WORD_LEN, 0 },        // MCU_ADDRESS
180 { 0x0990, 0x0408, WORD_LEN, 0 },        // MCU_DATA_0
181 { 0x098E, 0x482D, WORD_LEN, 0 },        // MCU_ADDRESS
182 { 0x0990, 0x0308, WORD_LEN, 0 },        // MCU_DATA_0
183 { 0x098E, 0x6C00, WORD_LEN, 0 },        // MCU_ADDRESS
184 { 0x0990, 0x0800, WORD_LEN, 0 },        // MCU_DATA_0
185 { 0x098E, 0x6C02, WORD_LEN, 0 },        // MCU_ADDRESS
186 { 0x0990, 0x0600, WORD_LEN, 0 },        // MCU_DATA_0
187 { 0x098E, 0xEC8E, WORD_LEN, 0 },        // MCU_ADDRESS
188 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
189 { 0x098E, 0x6CA0, WORD_LEN, 0 },        // MCU_ADDRESS
190 { 0x0990, 0x082D, WORD_LEN, 0 },        // MCU_DATA_0
191 { 0x098E, 0x484A, WORD_LEN, 0 },        // MCU_ADDRESS
192 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
193 { 0x098E, 0x484C, WORD_LEN, 0 },        // MCU_ADDRESS
194 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
195 { 0x098E, 0x484E, WORD_LEN, 0 },        // MCU_ADDRESS
196 { 0x0990, 0x060B, WORD_LEN, 0 },        // MCU_DATA_0
197 { 0x098E, 0x4850, WORD_LEN, 0 },        // MCU_ADDRESS
198 { 0x0990, 0x080B, WORD_LEN, 0 },        // MCU_DATA_0
199 { 0x098E, 0x4852, WORD_LEN, 0 },        // MCU_ADDRESS
200 { 0x0990, 0x0111, WORD_LEN, 0 },        // MCU_DATA_0
201 { 0x098E, 0x4854, WORD_LEN, 0 },        // MCU_ADDRESS
202 { 0x0990, 0x0024, WORD_LEN, 0 },        // MCU_DATA_0
203 { 0x098E, 0x4857, WORD_LEN, 0 },        // MCU_ADDRESS
204 { 0x0990, 0x008C, WORD_LEN, 0 },        // MCU_DATA_0
205 { 0x098E, 0x4859, WORD_LEN, 0 },        // MCU_ADDRESS
206 { 0x0990, 0x01F1, WORD_LEN, 0 },        // MCU_DATA_0
207 { 0x098E, 0x485B, WORD_LEN, 0 },        // MCU_ADDRESS
208 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0
209 { 0x098E, 0x4865, WORD_LEN, 0 },        // MCU_ADDRESS
210 { 0x0990, 0x0857, WORD_LEN, 0 },        // MCU_DATA_0
211 { 0x098E, 0x4867, WORD_LEN, 0 },        // MCU_ADDRESS
212 { 0x0990, 0x0378, WORD_LEN, 0 },        // MCU_DATA_0
213 { 0x098E, 0x486D, WORD_LEN, 0 },        // MCU_ADDRESS
214 { 0x0990, 0x0ACE, WORD_LEN, 0 },        // MCU_DATA_0
215 { 0x098E, 0x4873, WORD_LEN, 0 },        // MCU_ADDRESS
216 { 0x0990, 0x0808, WORD_LEN, 0 },        // MCU_DATA_0
217 { 0x098E, 0x4875, WORD_LEN, 0 },        // MCU_ADDRESS
218 { 0x0990, 0x0608, WORD_LEN, 0 },        // MCU_DATA_0
219 { 0x098E, 0xC8A5, WORD_LEN, 0 },        // MCU_ADDRESS
220 { 0x0990, 0x001E, WORD_LEN, 0 },        // MCU_DATA_0
221 { 0x098E, 0xC8A6, WORD_LEN, 0 },        // MCU_ADDRESS
222 { 0x0990, 0x0021, WORD_LEN, 0 },        // MCU_DATA_0
223 { 0x098E, 0xC8A7, WORD_LEN, 0 },        // MCU_ADDRESS
224 { 0x0990, 0x0024, WORD_LEN, 0 },        // MCU_DATA_0
225 { 0x098E, 0xC8A8, WORD_LEN, 0 },        // MCU_ADDRESS
226 { 0x0990, 0x0027, WORD_LEN, 0 },        // MCU_DATA_0
227 { 0x098E, 0xC844, WORD_LEN, 0 },        // MCU_ADDRESS
228 { 0x0990, 0x0096, WORD_LEN, 0 },        // MCU_DATA_0
229 { 0x098E, 0xC92F, WORD_LEN, 0 },        // MCU_ADDRESS
230 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
231 { 0x098E, 0xC845, WORD_LEN, 0 },        // MCU_ADDRESS
232 { 0x0990, 0x007D, WORD_LEN, 0 },        // MCU_DATA_0
233 { 0x098E, 0xC92D, WORD_LEN, 0 },        // MCU_ADDRESS
234 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
235 { 0x098E, 0xC88C, WORD_LEN, 0 },        // MCU_ADDRESS
236 { 0x0990, 0x006B, WORD_LEN, 0 },        // MCU_DATA_0
237 { 0x098E, 0xC930, WORD_LEN, 0 },        // MCU_ADDRESS
238 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
239 { 0x098E, 0xC88D, WORD_LEN, 0 },        // MCU_ADDRESS
240 { 0x0990, 0x0059, WORD_LEN, 0 },        // MCU_DATA_0
241 { 0x098E, 0xC92E, WORD_LEN, 0 },        // MCU_ADDRESS
242 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
243 { 0x098E, 0xA002, WORD_LEN, 0 },        // MCU_ADDRESS
244 { 0x0990, 0x0010, WORD_LEN, 0 },        // MCU_DATA_0
245 { 0x098E, 0xA009, WORD_LEN, 0 },        // MCU_ADDRESS
246 { 0x0990, 0x0002, WORD_LEN, 0 },        // MCU_DATA_0
247 { 0x098E, 0xA00A, WORD_LEN, 0 },        // MCU_ADDRESS
248 { 0x0990, 0x0003, WORD_LEN, 0 },        // MCU_DATA_0
249 { 0x098E, 0xA00C, WORD_LEN, 0 },        // MCU_ADDRESS
250 { 0x0990, 0x000A, WORD_LEN, 0 },        // MCU_DATA_0
251 { 0x098E, 0x4846, WORD_LEN, 0 },        // MCU_ADDRESS
252 { 0x0990, 0x0014, WORD_LEN, 0 },        // MCU_DATA_0
253 { 0x098E, 0x68AA, WORD_LEN, 0 },        // MCU_ADDRESS
254 { 0x0990, 0x02EE, WORD_LEN, 0 },        // MCU_DATA_0
255 { 0x098E, 0x6815, WORD_LEN, 0 },        // MCU_ADDRESS
256 { 0x0990, 0x0007, WORD_LEN, 0 },        // MCU_DATA_0
257 { 0x098E, 0x6817, WORD_LEN, 0 },        // MCU_ADDRESS
258 { 0x0990, 0x0008, WORD_LEN, 0 },        // MCU_DATA_0
259 { 0x098E, 0x682D, WORD_LEN, 0 },        // MCU_ADDRESS
260 { 0x0990, 0x0007, WORD_LEN, 0 },        // MCU_DATA_0
261 { 0x098E, 0x488E, WORD_LEN, 0 },        // MCU_ADDRESS
262 { 0x0990, 0x0014, WORD_LEN, 0 },        // MCU_DATA_0
263 { 0x098E, 0x6CAA, WORD_LEN, 0 },        // MCU_ADDRESS
264 { 0x0990, 0x01A2, WORD_LEN, 0 },        // MCU_DATA_0
265 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS
266 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
267 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS
268 { 0x0990, 0x0005, WORD_LEN, 0 },        // MCU_DATA_0
269 { 0x3C20, 0x0000, WORD_LEN, 0 },        // TX_SS_CONTROL
270
271 //[LensShading  TL84]
272 { 0x364A, 0x00F0, WORD_LEN, 0 },        // P_R_P0Q0
273 { 0x364C, 0x1B2D, WORD_LEN, 0 },        // P_R_P0Q1
274 { 0x364E, 0x6491, WORD_LEN, 0 },        // P_R_P0Q2
275 { 0x3650, 0x2210, WORD_LEN, 0 },        // P_R_P0Q3
276 { 0x3652, 0xCD30, WORD_LEN, 0 },        // P_R_P0Q4
277 { 0x368A, 0x368B, WORD_LEN, 0 },        // P_R_P1Q0
278 { 0x368C, 0x3A6E, WORD_LEN, 0 },        // P_R_P1Q1
279 { 0x368E, 0x0B8F, WORD_LEN, 0 },        // P_R_P1Q2
280 { 0x3690, 0x974F, WORD_LEN, 0 },        // P_R_P1Q3
281 { 0x3692, 0xD5B0, WORD_LEN, 0 },        // P_R_P1Q4
282 { 0x36CA, 0x0C32, WORD_LEN, 0 },        // P_R_P2Q0
283 { 0x36CC, 0x33B0, WORD_LEN, 0 },        // P_R_P2Q1
284 { 0x36CE, 0x6413, WORD_LEN, 0 },        // P_R_P2Q2
285 { 0x36D0, 0xF130, WORD_LEN, 0 },        // P_R_P2Q3
286 { 0x36D2, 0x8EF6, WORD_LEN, 0 },        // P_R_P2Q4
287 { 0x370A, 0x50CA, WORD_LEN, 0 },        // P_R_P3Q0
288 { 0x370C, 0x2570, WORD_LEN, 0 },        // P_R_P3Q1
289 { 0x370E, 0xFDEE, WORD_LEN, 0 },        // P_R_P3Q2
290 { 0x3710, 0xEDB1, WORD_LEN, 0 },        // P_R_P3Q3
291 { 0x3712, 0x68EF, WORD_LEN, 0 },        // P_R_P3Q4
292 { 0x374A, 0x1E50, WORD_LEN, 0 },        // P_R_P4Q0
293 { 0x374C, 0xB9D0, WORD_LEN, 0 },        // P_R_P4Q1
294 { 0x374E, 0x82B7, WORD_LEN, 0 },        // P_R_P4Q2
295 { 0x3750, 0x550E, WORD_LEN, 0 },        // P_R_P4Q3
296 { 0x3752, 0x1FF9, WORD_LEN, 0 },        // P_R_P4Q4
297 { 0x3640, 0x0750, WORD_LEN, 0 },        // P_G1_P0Q0
298 { 0x3642, 0x36CD, WORD_LEN, 0 },        // P_G1_P0Q1
299 { 0x3644, 0x5DB1, WORD_LEN, 0 },        // P_G1_P0Q2
300 { 0x3646, 0x23F0, WORD_LEN, 0 },        // P_G1_P0Q3
301 { 0x3648, 0xB9F1, WORD_LEN, 0 },        // P_G1_P0Q4
302 { 0x3680, 0x5C8B, WORD_LEN, 0 },        // P_G1_P1Q0
303 { 0x3682, 0x8F6F, WORD_LEN, 0 },        // P_G1_P1Q1
304 { 0x3684, 0x53AA, WORD_LEN, 0 },        // P_G1_P1Q2
305 { 0x3686, 0x2B6C, WORD_LEN, 0 },        // P_G1_P1Q3
306 { 0x3688, 0x9D70, WORD_LEN, 0 },        // P_G1_P1Q4
307 { 0x36C0, 0x2D12, WORD_LEN, 0 },        // P_G1_P2Q0
308 { 0x36C2, 0x0D11, WORD_LEN, 0 },        // P_G1_P2Q1
309 { 0x36C4, 0xAD4D, WORD_LEN, 0 },        // P_G1_P2Q2
310 { 0x36C6, 0x9872, WORD_LEN, 0 },        // P_G1_P2Q3
311 { 0x36C8, 0xC9B4, WORD_LEN, 0 },        // P_G1_P2Q4
312 { 0x3700, 0x920F, WORD_LEN, 0 },        // P_G1_P3Q0
313 { 0x3702, 0x8A4E, WORD_LEN, 0 },        // P_G1_P3Q1
314 { 0x3704, 0x502F, WORD_LEN, 0 },        // P_G1_P3Q2
315 { 0x3706, 0x7951, WORD_LEN, 0 },        // P_G1_P3Q3
316 { 0x3708, 0x0013, WORD_LEN, 0 },        // P_G1_P3Q4
317 { 0x3740, 0xDC12, WORD_LEN, 0 },        // P_G1_P4Q0
318 { 0x3742, 0xEA52, WORD_LEN, 0 },        // P_G1_P4Q1
319 { 0x3744, 0xC735, WORD_LEN, 0 },        // P_G1_P4Q2
320 { 0x3746, 0x2F94, WORD_LEN, 0 },        // P_G1_P4Q3
321 { 0x3748, 0x6C18, WORD_LEN, 0 },        // P_G1_P4Q4
322 { 0x3654, 0x0210, WORD_LEN, 0 },        // P_B_P0Q0
323 { 0x3656, 0x384D, WORD_LEN, 0 },        // P_B_P0Q1
324 { 0x3658, 0x27D1, WORD_LEN, 0 },        // P_B_P0Q2
325 { 0x365A, 0x02F0, WORD_LEN, 0 },        // P_B_P0Q3
326 { 0x365C, 0xC530, WORD_LEN, 0 },        // P_B_P0Q4
327 { 0x3694, 0x70AA, WORD_LEN, 0 },        // P_B_P1Q0
328 { 0x3696, 0x964D, WORD_LEN, 0 },        // P_B_P1Q1
329 { 0x3698, 0xA58B, WORD_LEN, 0 },        // P_B_P1Q2
330 { 0x369A, 0xA88F, WORD_LEN, 0 },        // P_B_P1Q3
331 { 0x369C, 0xA90F, WORD_LEN, 0 },        // P_B_P1Q4
332 { 0x36D4, 0x07D2, WORD_LEN, 0 },        // P_B_P2Q0
333 { 0x36D6, 0x10F0, WORD_LEN, 0 },        // P_B_P2Q1
334 { 0x36D8, 0xDDA7, WORD_LEN, 0 },        // P_B_P2Q2
335 { 0x36DA, 0xE9B1, WORD_LEN, 0 },        // P_B_P2Q3
336 { 0x36DC, 0x9AF4, WORD_LEN, 0 },        // P_B_P2Q4
337 { 0x3714, 0x02EE, WORD_LEN, 0 },        // P_B_P3Q0
338 { 0x3716, 0xB250, WORD_LEN, 0 },        // P_B_P3Q1
339 { 0x3718, 0x770B, WORD_LEN, 0 },        // P_B_P3Q2
340 { 0x371A, 0x6BB2, WORD_LEN, 0 },        // P_B_P3Q3
341 { 0x371C, 0x57F0, WORD_LEN, 0 },        // P_B_P3Q4
342 { 0x3754, 0xCEB2, WORD_LEN, 0 },        // P_B_P4Q0
343 { 0x3756, 0xC730, WORD_LEN, 0 },        // P_B_P4Q1
344 { 0x3758, 0xBC34, WORD_LEN, 0 },        // P_B_P4Q2
345 { 0x375A, 0x0C14, WORD_LEN, 0 },        // P_B_P4Q3
346 { 0x375C, 0x2F18, WORD_LEN, 0 },        // P_B_P4Q4
347 { 0x365E, 0x0130, WORD_LEN, 0 },        // P_G2_P0Q0
348 { 0x3660, 0x1C2D, WORD_LEN, 0 },        // P_G2_P0Q1
349 { 0x3662, 0x5031, WORD_LEN, 0 },        // P_G2_P0Q2
350 { 0x3664, 0x1670, WORD_LEN, 0 },        // P_G2_P0Q3
351 { 0x3666, 0x9B71, WORD_LEN, 0 },        // P_G2_P0Q4
352 { 0x369E, 0x5BAB, WORD_LEN, 0 },        // P_G2_P1Q0
353 { 0x36A0, 0x054F, WORD_LEN, 0 },        // P_G2_P1Q1
354 { 0x36A2, 0x2589, WORD_LEN, 0 },        // P_G2_P1Q2
355 { 0x36A4, 0xF54F, WORD_LEN, 0 },        // P_G2_P1Q3
356 { 0x36A6, 0xCFAE, WORD_LEN, 0 },        // P_G2_P1Q4
357 { 0x36DE, 0x2A92, WORD_LEN, 0 },        // P_G2_P2Q0
358 { 0x36E0, 0x0311, WORD_LEN, 0 },        // P_G2_P2Q1
359 { 0x36E2, 0x832F, WORD_LEN, 0 },        // P_G2_P2Q2
360 { 0x36E4, 0xA232, WORD_LEN, 0 },        // P_G2_P2Q3
361 { 0x36E6, 0xCB34, WORD_LEN, 0 },        // P_G2_P2Q4
362 { 0x371E, 0xFA6E, WORD_LEN, 0 },        // P_G2_P3Q0
363 { 0x3720, 0x712F, WORD_LEN, 0 },        // P_G2_P3Q1
364 { 0x3722, 0x5A31, WORD_LEN, 0 },        // P_G2_P3Q2
365 { 0x3724, 0xAC52, WORD_LEN, 0 },        // P_G2_P3Q3
366 { 0x3726, 0xB751, WORD_LEN, 0 },        // P_G2_P3Q4
367 { 0x375E, 0xDFF2, WORD_LEN, 0 },        // P_G2_P4Q0
368 { 0x3760, 0xEE32, WORD_LEN, 0 },        // P_G2_P4Q1
369 { 0x3762, 0x9FF5, WORD_LEN, 0 },        // P_G2_P4Q2
370 { 0x3764, 0x7154, WORD_LEN, 0 },        // P_G2_P4Q3
371 { 0x3766, 0x50D8, WORD_LEN, 0 },        // P_G2_P4Q4
372 { 0x3784, 0x0400, WORD_LEN, 0 },        // CENTER_COLUMN
373 { 0x3782, 0x0300, WORD_LEN, 0 },        // CENTER_ROW
374 { 0x3210, 0x01B8, WORD_LEN, 0 },        // COLOR_PIPELINE_CONTROL
375 { 0x098E, 0xC913, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_STAT_BRIGHTNESS_METRIC_PREDIVIDER]
376 { 0x0990, 0x000A, WORD_LEN, 0 },        // MCU_DATA_0
377 { 0x098E, 0x686B, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_START_BRIGHTNESS]
378 { 0x0990, 0x05DC, WORD_LEN, 0 },        // MCU_DATA_0
379 { 0x098E, 0x686D, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_STOP_BRIGHTNESS]
380 { 0x0990, 0x0BB8, WORD_LEN, 0 },        // MCU_DATA_0
381 { 0x098E, 0x6C6B, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_LL_START_BRIGHTNESS]
382 { 0x0990, 0x05DC, WORD_LEN, 0 },        // MCU_DATA_0
383 { 0x098E, 0x6C6D, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_LL_STOP_BRIGHTNESS]
384 { 0x0990, 0x0BB8, WORD_LEN, 0 },        // MCU_DATA_0
385 { 0x098E, 0x3439, WORD_LEN, 0 },        // MCU_ADDRESS [AS_ASSTART_BRIGHTNESS]
386 { 0x0990, 0x05DC, WORD_LEN, 0 },        // MCU_DATA_0
387 { 0x098E, 0x343B, WORD_LEN, 0 },        // MCU_ADDRESS [AS_ASSTOP_BRIGHTNESS]
388 { 0x0990, 0x0BB8, WORD_LEN, 0 },        // MCU_DATA_0
389 { 0x098E, 0x4926, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_START_GAMMA_BM]
390 { 0x0990, 0x0001, WORD_LEN, 0 },        // MCU_DATA_0
391 { 0x098E, 0x4928, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_MID_GAMMA_BM]
392 { 0x0990, 0x0002, WORD_LEN, 0 },        // MCU_DATA_0
393 { 0x098E, 0x492A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_STOP_GAMMA_BM]
394 { 0x0990, 0x0656, WORD_LEN, 0 },        // MCU_DATA_0
395 { 0x098E, 0x4D26, WORD_LEN, 0 },        // MCU_ADDRESS [CAM2_LL_START_GAMMA_BM]
396 { 0x0990, 0x0001, WORD_LEN, 0 },        // MCU_DATA_0
397 { 0x098E, 0x4D28, WORD_LEN, 0 },        // MCU_ADDRESS [CAM2_LL_MID_GAMMA_BM]
398 { 0x0990, 0x0002, WORD_LEN, 0 },        // MCU_DATA_0
399 { 0x098E, 0x4D2A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM2_LL_STOP_GAMMA_BM]
400 { 0x0990, 0x0656, WORD_LEN, 0 },        // MCU_DATA_0
401 { 0x33F4, 0x040B, WORD_LEN, 0 },        // KERNEL_CONFIG
402 { 0x098E, 0xC916, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_START_0]
403 { 0x0990, 0x0014, WORD_LEN, 0 },        // MCU_DATA_0
404 { 0x098E, 0xC919, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_STOP_0]
405 { 0x0990, 0x0028, WORD_LEN, 0 },        // MCU_DATA_0
406 { 0x098E, 0xC917, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_START_1]
407 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
408 { 0x098E, 0xC918, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_START_2]
409 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
410 { 0x098E, 0xC91A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_STOP_1]
411 { 0x0990, 0x0001, WORD_LEN, 0 },        // MCU_DATA_0
412 { 0x098E, 0xC91B, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_STOP_2]
413 { 0x0990, 0x0009, WORD_LEN, 0 },        // MCU_DATA_0
414 { 0x326C, 0x0C00, WORD_LEN, 0 },        // APERTURE_PARAMETERS_2D
415 { 0x098E, 0x494B, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_START_GAIN_METRIC]
416 { 0x0990, 0x0042, WORD_LEN, 0 },        // MCU_DATA_0
417 { 0x098E, 0x494D, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_STOP_GAIN_METRIC]
418 { 0x0990, 0x012C, WORD_LEN, 0 },        // MCU_DATA_0
419 { 0x098E, 0xC91E, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_START_0]
420 { 0x0990, 0x0012, WORD_LEN, 0 },        // MCU_DATA_0
421 { 0x098E, 0xC91F, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_START_1]
422 { 0x0990, 0x000A, WORD_LEN, 0 },        // MCU_DATA_0
423 { 0x098E, 0xC920, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_START_2]
424 { 0x0990, 0x0012, WORD_LEN, 0 },        // MCU_DATA_0
425 { 0x098E, 0xC921, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_START_3]
426 { 0x0990, 0x000A, WORD_LEN, 0 },        // MCU_DATA_0
427 { 0x098E, 0xC922, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_STOP_0]
428 { 0x0990, 0x0026, WORD_LEN, 0 },        // MCU_DATA_0
429 { 0x098E, 0xC923, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_STOP_1]
430 { 0x0990, 0x001E, WORD_LEN, 0 },        // MCU_DATA_0
431 { 0x098E, 0xC924, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_STOP_2]
432 { 0x0990, 0x0026, WORD_LEN, 0 },        // MCU_DATA_0
433 { 0x098E, 0xC925, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_STOP_3]
434 { 0x0990, 0x0026, WORD_LEN, 0 },        // MCU_DATA_0
435 { 0x098E, 0xBC02, WORD_LEN, 0 },        // MCU_ADDRESS [LL_MODE]
436 { 0x0990, 0x0003, WORD_LEN, 0 },        // MCU_DATA_0
437 { 0x098E, 0xBC05, WORD_LEN, 0 },        // MCU_ADDRESS [LL_CLUSTER_DC_TH]
438 { 0x0990, 0x000E, WORD_LEN, 0 },        // MCU_DATA_0
439 { 0x316C, 0x350F, WORD_LEN, 0 },        // DAC_TXLO
440 { 0x098E, 0xC950, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_1]
441 { 0x0990, 0x0064, WORD_LEN, 0 },        // MCU_DATA_0
442 { 0x098E, 0xC94F, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_0]
443 { 0x0990, 0x0038, WORD_LEN, 0 },        // MCU_DATA_0
444 { 0x098E, 0xC952, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_3]
445 { 0x0990, 0x0064, WORD_LEN, 0 },        // MCU_DATA_0
446 { 0x098E, 0xC951, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_2]
447 { 0x0990, 0x0051, WORD_LEN, 0 },        // MCU_DATA_0
448 { 0x098E, 0xC954, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_5]
449 { 0x0990, 0x0010, WORD_LEN, 0 },        // MCU_DATA_0
450 { 0x098E, 0xC953, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_4]
451 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
452 { 0x098E, 0xC956, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_7]
453 { 0x0990, 0x0010, WORD_LEN, 0 },        // MCU_DATA_0
454 { 0x098E, 0xC955, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_6]
455 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
456 { 0x098E, 0xC958, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_1]
457 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
458 { 0x098E, 0xC957, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_0]
459 { 0x0990, 0x0014, WORD_LEN, 0 },        // MCU_DATA_0
460 { 0x098E, 0xC95A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_3]
461 { 0x0990, 0x001D, WORD_LEN, 0 },        // MCU_DATA_0
462 { 0x098E, 0xC959, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_2]
463 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
464 { 0x098E, 0xC95C, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_5]
465 { 0x0990, 0x000C, WORD_LEN, 0 },        // MCU_DATA_0
466 { 0x098E, 0xC95B, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_4]
467 { 0x0990, 0x0008, WORD_LEN, 0 },        // MCU_DATA_0
468 { 0x098E, 0xC95E, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_7]
469 { 0x0990, 0x000C, WORD_LEN, 0 },        // MCU_DATA_0
470 { 0x098E, 0xC95D, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_6]
471 { 0x0990, 0x0008, WORD_LEN, 0 },        // MCU_DATA_0
472 { 0x098E, 0xC95F, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_WINDOW_PERCENT]
473 { 0x0990, 0x0064, WORD_LEN, 0 },        // MCU_DATA_0
474 { 0x098E, 0x48DC, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_0]
475 { 0x0990, 0x004D, WORD_LEN, 0 },        // MCU_DATA_0
476 { 0x098E, 0x48DE, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_1]
477 { 0x0990, 0x0096, WORD_LEN, 0 },        // MCU_DATA_0
478 { 0x098E, 0x48E0, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_2]
479 { 0x0990, 0x001D, WORD_LEN, 0 },        // MCU_DATA_0
480 { 0x098E, 0x48E2, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_3]
481 { 0x0990, 0x004D, WORD_LEN, 0 },        // MCU_DATA_0
482 { 0x098E, 0x48E4, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_4]
483 { 0x0990, 0x0096, WORD_LEN, 0 },        // MCU_DATA_0
484 { 0x098E, 0x48E6, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_5]
485 { 0x0990, 0x001D, WORD_LEN, 0 },        // MCU_DATA_0
486 { 0x098E, 0x48E8, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_6]
487 { 0x0990, 0x004D, WORD_LEN, 0 },        // MCU_DATA_0
488 { 0x098E, 0x48EA, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_7]
489 { 0x0990, 0x0096, WORD_LEN, 0 },        // MCU_DATA_0
490 { 0x098E, 0x48EC, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_8]
491 { 0x0990, 0x001D, WORD_LEN, 0 },        // MCU_DATA_0
492 { 0x098E, 0xDC2A, WORD_LEN, 0 },        // MCU_ADDRESS [SYS_DELTA_GAIN]
493 { 0x0990, 0x000B, WORD_LEN, 0 },        // MCU_DATA_0
494 { 0x098E, 0xDC2B, WORD_LEN, 0 },        // MCU_ADDRESS [SYS_DELTA_THRESH]
495 { 0x0990, 0x0017, WORD_LEN, 0 },        // MCU_DATA_0
496 { 0x098E, 0xBC0B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_0]
497 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
498 { 0x098E, 0xBC0C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_1]
499 { 0x0990, 0x001B, WORD_LEN, 0 },        // MCU_DATA_0
500 { 0x098E, 0xBC0D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_2]
501 { 0x0990, 0x002A, WORD_LEN, 0 },        // MCU_DATA_0
502 { 0x098E, 0xBC0E, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_3]
503 { 0x0990, 0x003E, WORD_LEN, 0 },        // MCU_DATA_0
504 { 0x098E, 0xBC0F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_4]
505 { 0x0990, 0x005A, WORD_LEN, 0 },        // MCU_DATA_0
506 { 0x098E, 0xBC10, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_5]
507 { 0x0990, 0x0070, WORD_LEN, 0 },        // MCU_DATA_0
508 { 0x098E, 0xBC11, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_6]
509 { 0x0990, 0x0081, WORD_LEN, 0 },        // MCU_DATA_0
510 { 0x098E, 0xBC12, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_7]
511 { 0x0990, 0x0090, WORD_LEN, 0 },        // MCU_DATA_0
512 { 0x098E, 0xBC13, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_8]
513 { 0x0990, 0x009E, WORD_LEN, 0 },        // MCU_DATA_0
514 { 0x098E, 0xBC14, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_9]
515 { 0x0990, 0x00AB, WORD_LEN, 0 },        // MCU_DATA_0
516 { 0x098E, 0xBC15, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_10]
517 { 0x0990, 0x00B6, WORD_LEN, 0 },        // MCU_DATA_0
518 { 0x098E, 0xBC16, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_11]
519 { 0x0990, 0x00C1, WORD_LEN, 0 },        // MCU_DATA_0
520 { 0x098E, 0xBC17, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_12]
521 { 0x0990, 0x00CB, WORD_LEN, 0 },        // MCU_DATA_0
522 { 0x098E, 0xBC18, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_13]
523 { 0x0990, 0x00D5, WORD_LEN, 0 },        // MCU_DATA_0
524 { 0x098E, 0xBC19, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_14]
525 { 0x0990, 0x00DE, WORD_LEN, 0 },        // MCU_DATA_0
526 { 0x098E, 0xBC1A, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_15]
527 { 0x0990, 0x00E7, WORD_LEN, 0 },        // MCU_DATA_0
528 { 0x098E, 0xBC1B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_16]
529 { 0x0990, 0x00EF, WORD_LEN, 0 },        // MCU_DATA_0
530 { 0x098E, 0xBC1C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_17]
531 { 0x0990, 0x00F7, WORD_LEN, 0 },        // MCU_DATA_0
532 { 0x098E, 0xBC1D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_18]
533 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0
534 { 0x098E, 0xBC1E, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_0]
535 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
536 { 0x098E, 0xBC1F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_1]
537 { 0x0990, 0x001B, WORD_LEN, 0 },        // MCU_DATA_0
538 { 0x098E, 0xBC20, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_2]
539 { 0x0990, 0x002A, WORD_LEN, 0 },        // MCU_DATA_0
540 { 0x098E, 0xBC21, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_3]
541 { 0x0990, 0x003E, WORD_LEN, 0 },        // MCU_DATA_0
542 { 0x098E, 0xBC22, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_4]
543 { 0x0990, 0x005A, WORD_LEN, 0 },        // MCU_DATA_0
544 { 0x098E, 0xBC23, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_5]
545 { 0x0990, 0x0070, WORD_LEN, 0 },        // MCU_DATA_0
546 { 0x098E, 0xBC24, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_6]
547 { 0x0990, 0x0081, WORD_LEN, 0 },        // MCU_DATA_0
548 { 0x098E, 0xBC25, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_7]
549 { 0x0990, 0x0090, WORD_LEN, 0 },        // MCU_DATA_0
550 { 0x098E, 0xBC26, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_8]
551 { 0x0990, 0x009E, WORD_LEN, 0 },        // MCU_DATA_0
552 { 0x098E, 0xBC27, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_9]
553 { 0x0990, 0x00AB, WORD_LEN, 0 },        // MCU_DATA_0
554 { 0x098E, 0xBC28, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_10]
555 { 0x0990, 0x00B6, WORD_LEN, 0 },        // MCU_DATA_0
556 { 0x098E, 0xBC29, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_11]
557 { 0x0990, 0x00C1, WORD_LEN, 0 },        // MCU_DATA_0
558 { 0x098E, 0xBC2A, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_12]
559 { 0x0990, 0x00CB, WORD_LEN, 0 },        // MCU_DATA_0
560 { 0x098E, 0xBC2B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_13]
561 { 0x0990, 0x00D5, WORD_LEN, 0 },        // MCU_DATA_0
562 { 0x098E, 0xBC2C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_14]
563 { 0x0990, 0x00DE, WORD_LEN, 0 },        // MCU_DATA_0
564 { 0x098E, 0xBC2D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_15]
565 { 0x0990, 0x00E7, WORD_LEN, 0 },        // MCU_DATA_0
566 { 0x098E, 0xBC2E, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_16]
567 { 0x0990, 0x00EF, WORD_LEN, 0 },        // MCU_DATA_0
568 { 0x098E, 0xBC2F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_17]
569 { 0x0990, 0x00F7, WORD_LEN, 0 },        // MCU_DATA_0
570 { 0x098E, 0xBC30, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_18]
571 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0
572 { 0x098E, 0xBC31, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_0]
573 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
574 { 0x098E, 0xBC32, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_1]
575 { 0x0990, 0x000D, WORD_LEN, 0 },        // MCU_DATA_0
576 { 0x098E, 0xBC33, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_2]
577 { 0x0990, 0x0019, WORD_LEN, 0 },        // MCU_DATA_0
578 { 0x098E, 0xBC34, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_3]
579 { 0x0990, 0x0030, WORD_LEN, 0 },        // MCU_DATA_0
580 { 0x098E, 0xBC35, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_4]
581 { 0x0990, 0x0056, WORD_LEN, 0 },        // MCU_DATA_0
582 { 0x098E, 0xBC36, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_5]
583 { 0x0990, 0x0070, WORD_LEN, 0 },        // MCU_DATA_0
584 { 0x098E, 0xBC37, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_6]
585 { 0x0990, 0x0081, WORD_LEN, 0 },        // MCU_DATA_0
586 { 0x098E, 0xBC38, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_7]
587 { 0x0990, 0x0090, WORD_LEN, 0 },        // MCU_DATA_0
588 { 0x098E, 0xBC39, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_8]
589 { 0x0990, 0x009E, WORD_LEN, 0 },        // MCU_DATA_0
590 { 0x098E, 0xBC3A, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_9]
591 { 0x0990, 0x00AB, WORD_LEN, 0 },        // MCU_DATA_0
592 { 0x098E, 0xBC3B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_10]
593 { 0x0990, 0x00B6, WORD_LEN, 0 },        // MCU_DATA_0
594 { 0x098E, 0xBC3C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_11]
595 { 0x0990, 0x00C1, WORD_LEN, 0 },        // MCU_DATA_0
596 { 0x098E, 0xBC3D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_12]
597 { 0x0990, 0x00CB, WORD_LEN, 0 },        // MCU_DATA_0
598 { 0x098E, 0xBC3E, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_13]
599 { 0x0990, 0x00D5, WORD_LEN, 0 },        // MCU_DATA_0
600 { 0x098E, 0xBC3F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_14]
601 { 0x0990, 0x00DE, WORD_LEN, 0 },        // MCU_DATA_0
602 { 0x098E, 0xBC40, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_15]
603 { 0x0990, 0x00E7, WORD_LEN, 0 },        // MCU_DATA_0
604 { 0x098E, 0xBC41, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_16]
605 { 0x0990, 0x00EF, WORD_LEN, 0 },        // MCU_DATA_0
606 { 0x098E, 0xBC42, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_17]
607 { 0x0990, 0x00F7, WORD_LEN, 0 },        // MCU_DATA_0
608 { 0x098E, 0xBC43, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_18]
609 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0
610 { 0x098E, 0x6865, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_ENTER]
611 { 0x0990, 0x00E0, WORD_LEN, 0 },        // MCU_DATA_0
612 { 0x098E, 0x6867, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_RUN]
613 { 0x0990, 0x00F4, WORD_LEN, 0 },        // MCU_DATA_0
614 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]
615 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
616 { 0x098E, 0xBC4A, WORD_LEN, 0 },        // MCU_ADDRESS [LL_TONAL_CURVE_HIGH]
617 { 0x0990, 0x007F, WORD_LEN, 0 },        // MCU_DATA_0
618 { 0x098E, 0xBC4B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_TONAL_CURVE_MED]
619 { 0x0990, 0x007F, WORD_LEN, 0 },        // MCU_DATA_0
620 { 0x098E, 0xBC4C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_TONAL_CURVE_LOW]
621 { 0x0990, 0x007F, WORD_LEN, 0 },        // MCU_DATA_0
622 { 0x3542, 0x0010, WORD_LEN, 0 },        // TONAL_X0
623 { 0x3544, 0x0030, WORD_LEN, 0 },        // TONAL_X1
624 { 0x3546, 0x0040, WORD_LEN, 0 },        // TONAL_X2
625 { 0x3548, 0x0080, WORD_LEN, 0 },        // TONAL_X3
626 { 0x354A, 0x0100, WORD_LEN, 0 },        // TONAL_X4
627 { 0x354C, 0x0200, WORD_LEN, 0 },        // TONAL_X5
628 { 0x354E, 0x0300, WORD_LEN, 0 },        // TONAL_X6
629 { 0x3550, 0x0010, WORD_LEN, 0 },        // TONAL_Y0
630 { 0x3552, 0x0030, WORD_LEN, 0 },        // TONAL_Y1
631 { 0x3554, 0x0040, WORD_LEN, 0 },        // TONAL_Y2
632 { 0x3556, 0x0080, WORD_LEN, 0 },        // TONAL_Y3
633 { 0x3558, 0x012C, WORD_LEN, 0 },        // TONAL_Y4
634 { 0x355A, 0x0320, WORD_LEN, 0 },        // TONAL_Y5
635 { 0x355C, 0x03E8, WORD_LEN, 0 },        // TONAL_Y6
636 { 0x3560, 0x0040, WORD_LEN, 0 },        // RECIPROCAL_OF_X0_MINUS_ZERO
637 { 0x3562, 0x0020, WORD_LEN, 0 },        // RECIPROCAL_OF_X1_MINUS_X0
638 { 0x3564, 0x0040, WORD_LEN, 0 },        // RECIPROCAL_OF_X2_MINUS_X1
639 { 0x3566, 0x0010, WORD_LEN, 0 },        // RECIPROCAL_OF_X3_MINUS_X2
640 { 0x3568, 0x0008, WORD_LEN, 0 },        // RECIPROCAL_OF_X4_MINUS_X3
641 { 0x356A, 0x0004, WORD_LEN, 0 },        // RECIPROCAL_OF_X5_MINUS_X4
642 { 0x356C, 0x0004, WORD_LEN, 0 },        // RECIPROCAL_OF_X6_MINUS_X5
643 { 0x356E, 0x0004, WORD_LEN, 0 },        // RECIPROCAL_OF_400_MINUS_X6
644 { 0x098E, 0x3C4D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_START_GAMMA_FTB]
645 { 0x0990, 0x0DAC, WORD_LEN, 0 },        // MCU_DATA_0
646 { 0x098E, 0x3C4F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_STOP_GAMMA_FTB]
647 { 0x0990, 0x148A, WORD_LEN, 0 },        // MCU_DATA_0
648 { 0x098E, 0xC911, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_STAT_LUMA_THRESH_HIGH]
649 { 0x0990, 0x00C8, WORD_LEN, 0 },        // MCU_DATA_0
650 { 0x098E, 0xC8F4, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_XSCALE]
651 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
652 { 0x098E, 0xC8F5, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_YSCALE]
653 { 0x0990, 0x0002, WORD_LEN, 0 },        // MCU_DATA_0
654 { 0x098E, 0x48F6, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_0]
655 { 0x0990, 0x3B4D, WORD_LEN, 0 },        // MCU_DATA_0
656 { 0x098E, 0x48F8, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_1]
657 { 0x0990, 0x6380, WORD_LEN, 0 },        // MCU_DATA_0
658 { 0x098E, 0x48FA, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_2]
659 { 0x0990, 0x9B18, WORD_LEN, 0 },        // MCU_DATA_0
660 { 0x098E, 0x48FC, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_3]
661 { 0x0990, 0x5D51, WORD_LEN, 0 },        // MCU_DATA_0
662 { 0x098E, 0x48FE, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_4]
663 { 0x0990, 0xEDE8, WORD_LEN, 0 },        // MCU_DATA_0
664 { 0x098E, 0x4900, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_5]
665 { 0x0990, 0xE515, WORD_LEN, 0 },        // MCU_DATA_0
666 { 0x098E, 0x4902, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_6]
667 { 0x0990, 0xBFF4, WORD_LEN, 0 },        // MCU_DATA_0
668 { 0x098E, 0x4904, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_7]
669 { 0x0990, 0x001E, WORD_LEN, 0 },        // MCU_DATA_0
670 { 0x098E, 0x4906, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_XSHIFT_PRE_ADJ]
671 { 0x0990, 0x0026, WORD_LEN, 0 },        // MCU_DATA_0
672 { 0x098E, 0x4908, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_YSHIFT_PRE_ADJ]
673 { 0x0990, 0x0033, WORD_LEN, 0 },        // MCU_DATA_0
674 { 0x098E, 0xE84A, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_L]
675 { 0x0990, 0x0083, WORD_LEN, 0 },        // MCU_DATA_0
676 { 0x098E, 0xE84D, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_R]
677 { 0x0990, 0x0083, WORD_LEN, 0 },        // MCU_DATA_0
678 { 0x098E, 0xE84C, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_L]
679 { 0x0990, 0x0080, WORD_LEN, 0 },        // MCU_DATA_0
680 { 0x098E, 0xE84F, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_R]
681 { 0x0990, 0x0080, WORD_LEN, 0 },        // MCU_DATA_0
682 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]
683 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
684 { 0x098E, 0x48B0, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
685 { 0x0990, 0x0180, WORD_LEN, 0 },        // MCU_DATA_0
686 { 0x098E, 0x48B2, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
687 { 0x0990, 0xFF7A, WORD_LEN, 0 },        // MCU_DATA_0
688 { 0x098E, 0x48B4, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
689 { 0x0990, 0x0018, WORD_LEN, 0 },        // MCU_DATA_0
690 { 0x098E, 0x48B6, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
691 { 0x0990, 0xFFCA, WORD_LEN, 0 },        // MCU_DATA_0
692 { 0x098E, 0x48B8, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
693 { 0x0990, 0x017C, WORD_LEN, 0 },        // MCU_DATA_0
694 { 0x098E, 0x48BA, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
695 { 0x0990, 0xFFCC, WORD_LEN, 0 },        // MCU_DATA_0
696 { 0x098E, 0x48BC, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
697 { 0x0990, 0x000C, WORD_LEN, 0 },        // MCU_DATA_0
698 { 0x098E, 0x48BE, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
699 { 0x0990, 0xFF1F, WORD_LEN, 0 },        // MCU_DATA_0
700 { 0x098E, 0x48C0, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
701 { 0x0990, 0x01E8, WORD_LEN, 0 },        // MCU_DATA_0
702 { 0x098E, 0x48C2, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_9]
703 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
704 { 0x098E, 0x48C4, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_10]
705 { 0x0990, 0x0044, WORD_LEN, 0 },        // MCU_DATA_0
706 { 0x098E, 0x48C6, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
707 { 0x0990, 0x0079, WORD_LEN, 0 },        // MCU_DATA_0
708 { 0x098E, 0x48C8, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
709 { 0x0990, 0xFFAD, WORD_LEN, 0 },        // MCU_DATA_0
710 { 0x098E, 0x48CA, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
711 { 0x0990, 0xFFE2, WORD_LEN, 0 },        // MCU_DATA_0
712 { 0x098E, 0x48CC, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
713 { 0x0990, 0x0033, WORD_LEN, 0 },        // MCU_DATA_0
714 { 0x098E, 0x48CE, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
715 { 0x0990, 0x002A, WORD_LEN, 0 },        // MCU_DATA_0
716 { 0x098E, 0x48D0, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
717 { 0x0990, 0xFFAA, WORD_LEN, 0 },        // MCU_DATA_0
718 { 0x098E, 0x48D2, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
719 { 0x0990, 0x0017, WORD_LEN, 0 },        // MCU_DATA_0
720 { 0x098E, 0x48D4, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
721 { 0x0990, 0x004B, WORD_LEN, 0 },        // MCU_DATA_0
722 { 0x098E, 0x48D6, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
723 { 0x0990, 0xFFA5, WORD_LEN, 0 },        // MCU_DATA_0
724 { 0x098E, 0x48D8, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_9]
725 { 0x0990, 0x0015, WORD_LEN, 0 },        // MCU_DATA_0
726 { 0x098E, 0x48DA, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_10]
727 { 0x0990, 0xFFE2, WORD_LEN, 0 },        // MCU_DATA_0
728 { 0x35A2, 0x0014, WORD_LEN, 0 },        // DARK_COLOR_KILL_CONTROLS
729 { 0x098E, 0xC949, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_SYS_DARK_COLOR_KILL]
730 { 0x0990, 0x0024, WORD_LEN, 0 },        // MCU_DATA_0
731 { 0x35A4, 0x0596, WORD_LEN, 0 },        // BRIGHT_COLOR_KILL_CONTROLS
732 { 0x098E, 0xC94A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_SYS_BRIGHT_COLORKILL]
733 { 0x0990, 0x0062, WORD_LEN, 0 },        // MCU_DATA_0
734 { 0x098E, 0xC948, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_SYS_UV_COLOR_BOOST]
735 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
736 { 0x098E, 0xC914, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_START_DESATURATION]
737 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
738 { 0x098E, 0xC915, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_END_DESATURATION]
739 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0
740 { 0x098E, 0xE86F, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_START_SATURATION]
741 { 0x0990, 0x0060, WORD_LEN, 0 },        // MCU_DATA_0
742 { 0x098E, 0xE870, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_END_SATURATION]
743 { 0x0990, 0x003C, WORD_LEN, 0 },        // MCU_DATA_0
744 { 0x098E, 0xEC6F, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_LL_START_SATURATION]
745 { 0x0990, 0x0060, WORD_LEN, 0 },        // MCU_DATA_0
746 { 0x098E, 0xEC70, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_LL_END_SATURATION]
747 { 0x0990, 0x003C, WORD_LEN, 0 },        // MCU_DATA_0
748 { 0x098E, 0xE883, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
749 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
750 { 0x098E, 0xEC83, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
751 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
752 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]
753 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
754 { 0x098E, 0xE885, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
755 { 0x0990, 0x001E, WORD_LEN, 0 },        // MCU_DATA_0
756 { 0x098E, 0xE886, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
757 { 0x0990, 0x00D8, WORD_LEN, 0 },        // MCU_DATA_0
758 { 0x098E, 0xEC85, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
759 { 0x0990, 0x001E, WORD_LEN, 0 },        // MCU_DATA_0
760 { 0x098E, 0xEC86, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
761 { 0x0990, 0x00D8, WORD_LEN, 0 },        // MCU_DATA_0
762 { 0x098E, 0xE884, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SOLARIZATION_TH]
763 { 0x0990, 0x005C, WORD_LEN, 0 },        // MCU_DATA_0
764 { 0x098E, 0xEC84, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SOLARIZATION_TH]
765 { 0x0990, 0x005C, WORD_LEN, 0 },        // MCU_DATA_0
766 { 0x098E, 0x490A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AS_INTEG_SCALE_FIRST_PASS]
767 { 0x0990, 0x0666, WORD_LEN, 0 },        // MCU_DATA_0
768 { 0x098E, 0x490C, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AS_MIN_INT_TIME_FIRST_PASS]
769 { 0x0990, 0x0140, WORD_LEN, 0 },        // MCU_DATA_0
770 { 0x098E, 0x6857, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_IS_FEATURE_THRESHOLD]
771 { 0x0990, 0x0014, WORD_LEN, 0 },        // MCU_DATA_0
772 { 0x098E, 0x685C, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_IS_BLUR_INPUT_PARAMETER]
773 { 0x0990, 0x0005, WORD_LEN, 0 },        // MCU_DATA_0
774 { 0x098E, 0x490E, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AS_MAX_DIGITAL_GAIN_ALLOWED]
775 { 0x0990, 0x00A4, WORD_LEN, 0 },        // MCU_DATA_0
776 { 0x098E, 0xB43D, WORD_LEN, 0 },        // MCU_ADDRESS [AS_START_ASVALUES_0]
777 { 0x0990, 0x0031, WORD_LEN, 0 },        // MCU_DATA_0
778 { 0x098E, 0xB43E, WORD_LEN, 0 },        // MCU_ADDRESS [AS_START_ASVALUES_1]
779 { 0x0990, 0x001B, WORD_LEN, 0 },        // MCU_DATA_0
780 { 0x098E, 0xB43F, WORD_LEN, 0 },        // MCU_ADDRESS [AS_START_ASVALUES_2]
781 { 0x0990, 0x0028, WORD_LEN, 0 },        // MCU_DATA_0
782 { 0x098E, 0xB440, WORD_LEN, 0 },        // MCU_ADDRESS [AS_START_ASVALUES_3]
783 { 0x0990, 0x0003, WORD_LEN, 0 },        // MCU_DATA_0
784 { 0x098E, 0xB441, WORD_LEN, 0 },        // MCU_ADDRESS [AS_STOP_ASVALUES_0]
785 { 0x0990, 0x00CD, WORD_LEN, 0 },        // MCU_DATA_0
786 { 0x098E, 0xB442, WORD_LEN, 0 },        // MCU_ADDRESS [AS_STOP_ASVALUES_1]
787 { 0x0990, 0x0064, WORD_LEN, 0 },        // MCU_DATA_0
788 { 0x098E, 0xB443, WORD_LEN, 0 },        // MCU_ADDRESS [AS_STOP_ASVALUES_2]
789 { 0x0990, 0x000F, WORD_LEN, 0 },        // MCU_DATA_0
790 { 0x098E, 0xB444, WORD_LEN, 0 },        // MCU_ADDRESS [AS_STOP_ASVALUES_3]
791 { 0x0990, 0x0007, WORD_LEN, 0 },        // MCU_DATA_0
792 { 0x098E, 0x300D, WORD_LEN, 0 },        // MCU_ADDRESS [AF_FILTERS]
793 { 0x0990, 0x000F, WORD_LEN, 0 },        // MCU_DATA_0
794 { 0x098E, 0x3017, WORD_LEN, 0 },        // MCU_ADDRESS [AF_THRESHOLDS]
795 { 0x0990, 0x0F0F, WORD_LEN, 0 },        // MCU_DATA_0
796 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]
797 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
798 { 0x098E, 0xE81F, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
799 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
800 { 0x098E, 0x68A0, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
801 { 0x0990, 0x082E, WORD_LEN, 0 },        // MCU_DATA_0
802 { 0x098E, 0x6CA0, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
803 { 0x0990, 0x082E, WORD_LEN, 0 },        // MCU_DATA_0
804 { 0x098E, 0x70A0, WORD_LEN, 0 },        // MCU_ADDRESS [SEC_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
805 { 0x0990, 0x082E, WORD_LEN, 0 },        // MCU_DATA_0
806 { 0x098E, 0x74A0, WORD_LEN, 0 },        // MCU_ADDRESS [SEC_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
807 { 0x0990, 0x082E, WORD_LEN, 0 },        // MCU_DATA_0
808 { 0x3C52, 0x082E, WORD_LEN, 0 },        // RESERVED_TX_SS_3C52
809 { 0x098E, 0x488E, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_CTX_B_RX_FIFO_TRIGGER_MARK]
810 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
811 { 0x098E, 0xECAC, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_IO_OB_MANUAL_FLAG]
812 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
813 { 0x3084, 0x2409, WORD_LEN, 0 },        // RESERVED_CORE_3084
814 { 0x3092, 0x0A49, WORD_LEN, 0 },        // RESERVED_CORE_3092
815 { 0x3094, 0x4949, WORD_LEN, 0 },        // RESERVED_CORE_3094
816 { 0x3096, 0x4950, WORD_LEN, 0 },        // RESERVED_CORE_3096
817 { 0x0982, 0x0000, WORD_LEN, 0 },        // ACCESS_CTL_STAT
818 { 0x098A, 0x0CFB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
819 { 0x0990, 0x3C3C, WORD_LEN, 0 }, 
820 { 0x0992, 0x3C3C, WORD_LEN, 0 }, 
821 { 0x0994, 0x3C3C, WORD_LEN, 0 }, 
822 { 0x0996, 0x5F4F, WORD_LEN, 0 }, 
823 { 0x0998, 0x30ED, WORD_LEN, 0 }, 
824 { 0x099A, 0x0AED, WORD_LEN, 0 }, 
825 { 0x099C, 0x08BD, WORD_LEN, 0 }, 
826 { 0x099E, 0x61D5, WORD_LEN, 0 }, 
827 { 0x098A, 0x0D0B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
828 { 0x0990, 0xCE04, WORD_LEN, 0 }, 
829 { 0x0992, 0xCD1F, WORD_LEN, 0 }, 
830 { 0x0994, 0x1702, WORD_LEN, 0 }, 
831 { 0x0996, 0x11CC, WORD_LEN, 0 }, 
832 { 0x0998, 0x332E, WORD_LEN, 0 }, 
833 { 0x099A, 0x30ED, WORD_LEN, 0 }, 
834 { 0x099C, 0x02CC, WORD_LEN, 0 }, 
835 { 0x099E, 0xFFFD, WORD_LEN, 0 }, 
836 { 0x098A, 0x0D1B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
837 { 0x0990, 0xED00, WORD_LEN, 0 }, 
838 { 0x0992, 0xCC00, WORD_LEN, 0 }, 
839 { 0x0994, 0x02BD, WORD_LEN, 0 }, 
840 { 0x0996, 0x706D, WORD_LEN, 0 }, 
841 { 0x0998, 0x18DE, WORD_LEN, 0 }, 
842 { 0x099A, 0x1F18, WORD_LEN, 0 }, 
843 { 0x099C, 0x1F8E, WORD_LEN, 0 }, 
844 { 0x099E, 0x0110, WORD_LEN, 0 }, 
845 { 0x098A, 0x0D2B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
846 { 0x0990, 0xCC3C, WORD_LEN, 0 }, 
847 { 0x0992, 0x5230, WORD_LEN, 0 }, 
848 { 0x0994, 0xED00, WORD_LEN, 0 }, 
849 { 0x0996, 0x18EC, WORD_LEN, 0 }, 
850 { 0x0998, 0xA0C4, WORD_LEN, 0 }, 
851 { 0x099A, 0xFDBD, WORD_LEN, 0 }, 
852 { 0x099C, 0x7021, WORD_LEN, 0 }, 
853 { 0x099E, 0x201E, WORD_LEN, 0 }, 
854 { 0x098A, 0x0D3B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
855 { 0x0990, 0xCC3C, WORD_LEN, 0 }, 
856 { 0x0992, 0x5230, WORD_LEN, 0 }, 
857 { 0x0994, 0xED00, WORD_LEN, 0 }, 
858 { 0x0996, 0xDE1F, WORD_LEN, 0 }, 
859 { 0x0998, 0xECA0, WORD_LEN, 0 }, 
860 { 0x099A, 0xBD70, WORD_LEN, 0 }, 
861 { 0x099C, 0x21CC, WORD_LEN, 0 }, 
862 { 0x099E, 0x3C52, WORD_LEN, 0 }, 
863 { 0x098A, 0x0D4B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
864 { 0x0990, 0x30ED, WORD_LEN, 0 }, 
865 { 0x0992, 0x02CC, WORD_LEN, 0 }, 
866 { 0x0994, 0xFFFC, WORD_LEN, 0 }, 
867 { 0x0996, 0xED00, WORD_LEN, 0 }, 
868 { 0x0998, 0xCC00, WORD_LEN, 0 }, 
869 { 0x099A, 0x02BD, WORD_LEN, 0 }, 
870 { 0x099C, 0x706D, WORD_LEN, 0 }, 
871 { 0x099E, 0xFC04, WORD_LEN, 0 }, 
872 { 0x098A, 0x0D5B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
873 { 0x0990, 0xE11A, WORD_LEN, 0 }, 
874 { 0x0992, 0x8300, WORD_LEN, 0 }, 
875 { 0x0994, 0x0127, WORD_LEN, 0 }, 
876 { 0x0996, 0x201A, WORD_LEN, 0 }, 
877 { 0x0998, 0x8300, WORD_LEN, 0 }, 
878 { 0x099A, 0x0427, WORD_LEN, 0 }, 
879 { 0x099C, 0x221A, WORD_LEN, 0 }, 
880 { 0x099E, 0x8300, WORD_LEN, 0 }, 
881 { 0x098A, 0x0D6B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
882 { 0x0990, 0x0827, WORD_LEN, 0 }, 
883 { 0x0992, 0x241A, WORD_LEN, 0 }, 
884 { 0x0994, 0x8300, WORD_LEN, 0 }, 
885 { 0x0996, 0x1027, WORD_LEN, 0 }, 
886 { 0x0998, 0x261A, WORD_LEN, 0 }, 
887 { 0x099A, 0x8300, WORD_LEN, 0 }, 
888 { 0x099C, 0x2027, WORD_LEN, 0 }, 
889 { 0x099E, 0x281A, WORD_LEN, 0 }, 
890 { 0x098A, 0x0D7B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
891 { 0x0990, 0x8300, WORD_LEN, 0 }, 
892 { 0x0992, 0x4027, WORD_LEN, 0 }, 
893 { 0x0994, 0x2A20, WORD_LEN, 0 }, 
894 { 0x0996, 0x2ECC, WORD_LEN, 0 }, 
895 { 0x0998, 0x001E, WORD_LEN, 0 }, 
896 { 0x099A, 0x30ED, WORD_LEN, 0 }, 
897 { 0x099C, 0x0A20, WORD_LEN, 0 }, 
898 { 0x099E, 0x26CC, WORD_LEN, 0 }, 
899 { 0x098A, 0x0D8B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
900 { 0x0990, 0x0022, WORD_LEN, 0 }, 
901 { 0x0992, 0x30ED, WORD_LEN, 0 }, 
902 { 0x0994, 0x0A20, WORD_LEN, 0 }, 
903 { 0x0996, 0x1ECC, WORD_LEN, 0 }, 
904 { 0x0998, 0x0021, WORD_LEN, 0 }, 
905 { 0x099A, 0x30ED, WORD_LEN, 0 }, 
906 { 0x099C, 0x0A20, WORD_LEN, 0 }, 
907 { 0x099E, 0x16CC, WORD_LEN, 0 }, 
908 { 0x098A, 0x0D9B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
909 { 0x0990, 0x0020, WORD_LEN, 0 }, 
910 { 0x0992, 0x30ED, WORD_LEN, 0 }, 
911 { 0x0994, 0x0A20, WORD_LEN, 0 }, 
912 { 0x0996, 0x0ECC, WORD_LEN, 0 }, 
913 { 0x0998, 0x002A, WORD_LEN, 0 }, 
914 { 0x099A, 0x30ED, WORD_LEN, 0 }, 
915 { 0x099C, 0x0A20, WORD_LEN, 0 }, 
916 { 0x099E, 0x06CC, WORD_LEN, 0 }, 
917 { 0x098A, 0x0DAB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
918 { 0x0990, 0x002B, WORD_LEN, 0 }, 
919 { 0x0992, 0x30ED, WORD_LEN, 0 }, 
920 { 0x0994, 0x0ACC, WORD_LEN, 0 }, 
921 { 0x0996, 0x3400, WORD_LEN, 0 }, 
922 { 0x0998, 0x30ED, WORD_LEN, 0 }, 
923 { 0x099A, 0x0034, WORD_LEN, 0 }, 
924 { 0x099C, 0xBD6F, WORD_LEN, 0 }, 
925 { 0x099E, 0xD184, WORD_LEN, 0 }, 
926 { 0x098A, 0x0DBB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
927 { 0x0990, 0x0330, WORD_LEN, 0 }, 
928 { 0x0992, 0xED07, WORD_LEN, 0 }, 
929 { 0x0994, 0xA60C, WORD_LEN, 0 }, 
930 { 0x0996, 0x4848, WORD_LEN, 0 }, 
931 { 0x0998, 0x5FED, WORD_LEN, 0 }, 
932 { 0x099A, 0x05EC, WORD_LEN, 0 }, 
933 { 0x099C, 0x07EA, WORD_LEN, 0 }, 
934 { 0x099E, 0x06AA, WORD_LEN, 0 }, 
935 { 0x098A, 0x0DCB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
936 { 0x0990, 0x0531, WORD_LEN, 0 }, 
937 { 0x0992, 0xBD70, WORD_LEN, 0 }, 
938 { 0x0994, 0x21DE, WORD_LEN, 0 }, 
939 { 0x0996, 0x1F1F, WORD_LEN, 0 }, 
940 { 0x0998, 0x8E01, WORD_LEN, 0 }, 
941 { 0x099A, 0x08EC, WORD_LEN, 0 }, 
942 { 0x099C, 0x9B05, WORD_LEN, 0 }, 
943 { 0x099E, 0x30ED, WORD_LEN, 0 }, 
944 { 0x098A, 0x0DDB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
945 { 0x0990, 0x0820, WORD_LEN, 0 }, 
946 { 0x0992, 0x3BDE, WORD_LEN, 0 }, 
947 { 0x0994, 0x1FEC, WORD_LEN, 0 }, 
948 { 0x0996, 0x0783, WORD_LEN, 0 }, 
949 { 0x0998, 0x0040, WORD_LEN, 0 }, 
950 { 0x099A, 0x2628, WORD_LEN, 0 }, 
951 { 0x099C, 0x7F30, WORD_LEN, 0 }, 
952 { 0x099E, 0xC4CC, WORD_LEN, 0 }, 
953 { 0x098A, 0x0DEB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
954 { 0x0990, 0x3C68, WORD_LEN, 0 }, 
955 { 0x0992, 0xBD6F, WORD_LEN, 0 }, 
956 { 0x0994, 0xD1FD, WORD_LEN, 0 }, 
957 { 0x0996, 0x30C5, WORD_LEN, 0 }, 
958 { 0x0998, 0xCC01, WORD_LEN, 0 }, 
959 { 0x099A, 0xF4FD, WORD_LEN, 0 }, 
960 { 0x099C, 0x30C7, WORD_LEN, 0 }, 
961 { 0x099E, 0xC640, WORD_LEN, 0 }, 
962 { 0x098A, 0x0DFB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
963 { 0x0990, 0xF730, WORD_LEN, 0 }, 
964 { 0x0992, 0xC4CC, WORD_LEN, 0 }, 
965 { 0x0994, 0x0190, WORD_LEN, 0 }, 
966 { 0x0996, 0xFD30, WORD_LEN, 0 }, 
967 { 0x0998, 0xC501, WORD_LEN, 0 }, 
968 { 0x099A, 0x0101, WORD_LEN, 0 }, 
969 { 0x099C, 0xFC30, WORD_LEN, 0 }, 
970 { 0x099E, 0xC230, WORD_LEN, 0 }, 
971 { 0x098A, 0x0E0B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
972 { 0x0990, 0xED08, WORD_LEN, 0 }, 
973 { 0x0992, 0x200A, WORD_LEN, 0 }, 
974 { 0x0994, 0xCC3C, WORD_LEN, 0 }, 
975 { 0x0996, 0x68BD, WORD_LEN, 0 }, 
976 { 0x0998, 0x6FD1, WORD_LEN, 0 }, 
977 { 0x099A, 0x0530, WORD_LEN, 0 }, 
978 { 0x099C, 0xED08, WORD_LEN, 0 }, 
979 { 0x099E, 0xCC34, WORD_LEN, 0 }, 
980 { 0x098A, 0x0E1B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
981 { 0x0990, 0x08ED, WORD_LEN, 0 }, 
982 { 0x0992, 0x00EC, WORD_LEN, 0 }, 
983 { 0x0994, 0x08BD, WORD_LEN, 0 }, 
984 { 0x0996, 0x7021, WORD_LEN, 0 }, 
985 { 0x0998, 0x30C6, WORD_LEN, 0 }, 
986 { 0x099A, 0x0C3A, WORD_LEN, 0 }, 
987 { 0x099C, 0x3539, WORD_LEN, 0 }, 
988 { 0x099E, 0x373C, WORD_LEN, 0 }, 
989 { 0x098A, 0x0E2B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
990 { 0x0990, 0x3C3C, WORD_LEN, 0 }, 
991 { 0x0992, 0x34DE, WORD_LEN, 0 }, 
992 { 0x0994, 0x2FEE, WORD_LEN, 0 }, 
993 { 0x0996, 0x0EAD, WORD_LEN, 0 }, 
994 { 0x0998, 0x007D, WORD_LEN, 0 }, 
995 { 0x099A, 0x13EF, WORD_LEN, 0 }, 
996 { 0x099C, 0x277C, WORD_LEN, 0 }, 
997 { 0x099E, 0xCE13, WORD_LEN, 0 }, 
998 { 0x098A, 0x0E3B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
999 { 0x0990, 0xE01E, WORD_LEN, 0 }, 
1000 { 0x0992, 0x0510, WORD_LEN, 0 }, 
1001 { 0x0994, 0x60E6, WORD_LEN, 0 }, 
1002 { 0x0996, 0x0E4F, WORD_LEN, 0 }, 
1003 { 0x0998, 0xC313, WORD_LEN, 0 }, 
1004 { 0x099A, 0xF08F, WORD_LEN, 0 }, 
1005 { 0x099C, 0xE600, WORD_LEN, 0 }, 
1006 { 0x099E, 0x30E1, WORD_LEN, 0 }, 
1007 { 0x098A, 0x0E4B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1008 { 0x0990, 0x0722, WORD_LEN, 0 }, 
1009 { 0x0992, 0x16F6, WORD_LEN, 0 }, 
1010 { 0x0994, 0x13EE, WORD_LEN, 0 }, 
1011 { 0x0996, 0x4FC3, WORD_LEN, 0 }, 
1012 { 0x0998, 0x13F3, WORD_LEN, 0 }, 
1013 { 0x099A, 0x8FE6, WORD_LEN, 0 }, 
1014 { 0x099C, 0x0030, WORD_LEN, 0 }, 
1015 { 0x099E, 0xE107, WORD_LEN, 0 }, 
1016 { 0x098A, 0x0E5B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1017 { 0x0990, 0x2507, WORD_LEN, 0 }, 
1018 { 0x0992, 0xF613, WORD_LEN, 0 }, 
1019 { 0x0994, 0xEEC1, WORD_LEN, 0 }, 
1020 { 0x0996, 0x0325, WORD_LEN, 0 }, 
1021 { 0x0998, 0x3C7F, WORD_LEN, 0 }, 
1022 { 0x099A, 0x13EE, WORD_LEN, 0 }, 
1023 { 0x099C, 0xF613, WORD_LEN, 0 }, 
1024 { 0x099E, 0xEFE7, WORD_LEN, 0 }, 
1025 { 0x098A, 0x0E6B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1026 { 0x0990, 0x06CC, WORD_LEN, 0 }, 
1027 { 0x0992, 0x13F0, WORD_LEN, 0 }, 
1028 { 0x0994, 0xED04, WORD_LEN, 0 }, 
1029 { 0x0996, 0xCC13, WORD_LEN, 0 }, 
1030 { 0x0998, 0xF320, WORD_LEN, 0 }, 
1031 { 0x099A, 0x0F7C, WORD_LEN, 0 }, 
1032 { 0x099C, 0x13EE, WORD_LEN, 0 }, 
1033 { 0x099E, 0xEC04, WORD_LEN, 0 }, 
1034 { 0x098A, 0x0E7B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1035 { 0x0990, 0xC300, WORD_LEN, 0 }, 
1036 { 0x0992, 0x01ED, WORD_LEN, 0 }, 
1037 { 0x0994, 0x04EC, WORD_LEN, 0 }, 
1038 { 0x0996, 0x02C3, WORD_LEN, 0 }, 
1039 { 0x0998, 0x0001, WORD_LEN, 0 }, 
1040 { 0x099A, 0xED02, WORD_LEN, 0 }, 
1041 { 0x099C, 0xF613, WORD_LEN, 0 }, 
1042 { 0x099E, 0xEEE1, WORD_LEN, 0 }, 
1043 { 0x098A, 0x0E8B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1044 { 0x0990, 0x0624, WORD_LEN, 0 }, 
1045 { 0x0992, 0x12EE, WORD_LEN, 0 }, 
1046 { 0x0994, 0x04E6, WORD_LEN, 0 }, 
1047 { 0x0996, 0x0030, WORD_LEN, 0 }, 
1048 { 0x0998, 0xE107, WORD_LEN, 0 }, 
1049 { 0x099A, 0x22DF, WORD_LEN, 0 }, 
1050 { 0x099C, 0xEE02, WORD_LEN, 0 }, 
1051 { 0x099E, 0xE600, WORD_LEN, 0 }, 
1052 { 0x098A, 0x0E9B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1053 { 0x0990, 0x30E1, WORD_LEN, 0 }, 
1054 { 0x0992, 0x0725, WORD_LEN, 0 }, 
1055 { 0x0994, 0xD6DE, WORD_LEN, 0 }, 
1056 { 0x0996, 0x49EE, WORD_LEN, 0 }, 
1057 { 0x0998, 0x08AD, WORD_LEN, 0 }, 
1058 { 0x099A, 0x00CC, WORD_LEN, 0 }, 
1059 { 0x099C, 0x13F6, WORD_LEN, 0 }, 
1060 { 0x099E, 0x30ED, WORD_LEN, 0 }, 
1061 { 0x098A, 0x0EAB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1062 { 0x0990, 0x00DE, WORD_LEN, 0 }, 
1063 { 0x0992, 0x2FEE, WORD_LEN, 0 }, 
1064 { 0x0994, 0x10CC, WORD_LEN, 0 }, 
1065 { 0x0996, 0x13FA, WORD_LEN, 0 }, 
1066 { 0x0998, 0xAD00, WORD_LEN, 0 }, 
1067 { 0x099A, 0x3838, WORD_LEN, 0 }, 
1068 { 0x099C, 0x3838, WORD_LEN, 0 }, 
1069 { 0x099E, 0x3937, WORD_LEN, 0 }, 
1070 { 0x098A, 0x0EBB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1071 { 0x0990, 0x363C, WORD_LEN, 0 }, 
1072 { 0x0992, 0x3C3C, WORD_LEN, 0 }, 
1073 { 0x0994, 0x5F4F, WORD_LEN, 0 }, 
1074 { 0x0996, 0x30ED, WORD_LEN, 0 }, 
1075 { 0x0998, 0x04EC, WORD_LEN, 0 }, 
1076 { 0x099A, 0x06ED, WORD_LEN, 0 }, 
1077 { 0x099C, 0x008F, WORD_LEN, 0 }, 
1078 { 0x099E, 0xC300, WORD_LEN, 0 }, 
1079 { 0x098A, 0x0ECB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1080 { 0x0990, 0x04BD, WORD_LEN, 0 }, 
1081 { 0x0992, 0x0F43, WORD_LEN, 0 }, 
1082 { 0x0994, 0x30EC, WORD_LEN, 0 }, 
1083 { 0x0996, 0x04BD, WORD_LEN, 0 }, 
1084 { 0x0998, 0x0F76, WORD_LEN, 0 }, 
1085 { 0x099A, 0x30ED, WORD_LEN, 0 }, 
1086 { 0x099C, 0x0238, WORD_LEN, 0 }, 
1087 { 0x099E, 0x3838, WORD_LEN, 0 }, 
1088 { 0x098A, 0x0EDB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1089 { 0x0990, 0x3839, WORD_LEN, 0 }, 
1090 { 0x0992, 0x373C, WORD_LEN, 0 }, 
1091 { 0x0994, 0x3C3C, WORD_LEN, 0 }, 
1092 { 0x0996, 0x3C30, WORD_LEN, 0 }, 
1093 { 0x0998, 0xE608, WORD_LEN, 0 }, 
1094 { 0x099A, 0x2712, WORD_LEN, 0 }, 
1095 { 0x099C, 0xC101, WORD_LEN, 0 }, 
1096 { 0x099E, 0x2713, WORD_LEN, 0 }, 
1097 { 0x098A, 0x0EEB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1098 { 0x0990, 0xC102, WORD_LEN, 0 }, 
1099 { 0x0992, 0x2714, WORD_LEN, 0 }, 
1100 { 0x0994, 0xC103, WORD_LEN, 0 }, 
1101 { 0x0996, 0x2715, WORD_LEN, 0 }, 
1102 { 0x0998, 0xC104, WORD_LEN, 0 }, 
1103 { 0x099A, 0x2716, WORD_LEN, 0 }, 
1104 { 0x099C, 0x2019, WORD_LEN, 0 }, 
1105 { 0x099E, 0xCC30, WORD_LEN, 0 }, 
1106 { 0x098A, 0x0EFB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1107 { 0x0990, 0x5E20, WORD_LEN, 0 }, 
1108 { 0x0992, 0x12CC, WORD_LEN, 0 }, 
1109 { 0x0994, 0x305A, WORD_LEN, 0 }, 
1110 { 0x0996, 0x200D, WORD_LEN, 0 }, 
1111 { 0x0998, 0xCC30, WORD_LEN, 0 }, 
1112 { 0x099A, 0x5620, WORD_LEN, 0 }, 
1113 { 0x099C, 0x08CC, WORD_LEN, 0 }, 
1114 { 0x099E, 0x305C, WORD_LEN, 0 }, 
1115 { 0x098A, 0x0F0B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1116 { 0x0990, 0x2003, WORD_LEN, 0 }, 
1117 { 0x0992, 0xCC30, WORD_LEN, 0 }, 
1118 { 0x0994, 0x58ED, WORD_LEN, 0 }, 
1119 { 0x0996, 0x065F, WORD_LEN, 0 }, 
1120 { 0x0998, 0x4FED, WORD_LEN, 0 }, 
1121 { 0x099A, 0x04EC, WORD_LEN, 0 }, 
1122 { 0x099C, 0x0BED, WORD_LEN, 0 }, 
1123 { 0x099E, 0x008F, WORD_LEN, 0 }, 
1124 { 0x098A, 0x0F1B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1125 { 0x0990, 0xC300, WORD_LEN, 0 }, 
1126 { 0x0992, 0x04BD, WORD_LEN, 0 }, 
1127 { 0x0994, 0x0F43, WORD_LEN, 0 }, 
1128 { 0x0996, 0x30EC, WORD_LEN, 0 }, 
1129 { 0x0998, 0x048A, WORD_LEN, 0 }, 
1130 { 0x099A, 0x02ED, WORD_LEN, 0 }, 
1131 { 0x099C, 0x02EC, WORD_LEN, 0 }, 
1132 { 0x099E, 0x06ED, WORD_LEN, 0 }, 
1133 { 0x098A, 0x0F2B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1134 { 0x0990, 0x008F, WORD_LEN, 0 }, 
1135 { 0x0992, 0xC300, WORD_LEN, 0 }, 
1136 { 0x0994, 0x02DE, WORD_LEN, 0 }, 
1137 { 0x0996, 0x0EAD, WORD_LEN, 0 }, 
1138 { 0x0998, 0x0030, WORD_LEN, 0 }, 
1139 { 0x099A, 0xEC04, WORD_LEN, 0 }, 
1140 { 0x099C, 0xBD0F, WORD_LEN, 0 }, 
1141 { 0x099E, 0x7630, WORD_LEN, 0 }, 
1142 { 0x098A, 0x0F3B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1143 { 0x0990, 0xED02, WORD_LEN, 0 }, 
1144 { 0x0992, 0x3838, WORD_LEN, 0 }, 
1145 { 0x0994, 0x3838, WORD_LEN, 0 }, 
1146 { 0x0996, 0x3139, WORD_LEN, 0 }, 
1147 { 0x0998, 0x3736, WORD_LEN, 0 }, 
1148 { 0x099A, 0x30EC, WORD_LEN, 0 }, 
1149 { 0x099C, 0x041A, WORD_LEN, 0 }, 
1150 { 0x099E, 0x8300, WORD_LEN, 0 }, 
1151 { 0x098A, 0x0F4B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1152 { 0x0990, 0x4025, WORD_LEN, 0 }, 
1153 { 0x0992, 0x22EC, WORD_LEN, 0 }, 
1154 { 0x0994, 0x041A, WORD_LEN, 0 }, 
1155 { 0x0996, 0x8300, WORD_LEN, 0 }, 
1156 { 0x0998, 0x8024, WORD_LEN, 0 }, 
1157 { 0x099A, 0x0504, WORD_LEN, 0 }, 
1158 { 0x099C, 0xCA40, WORD_LEN, 0 }, 
1159 { 0x099E, 0x2015, WORD_LEN, 0 }, 
1160 { 0x098A, 0x0F5B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1161 { 0x0990, 0xEC04, WORD_LEN, 0 }, 
1162 { 0x0992, 0x1A83, WORD_LEN, 0 }, 
1163 { 0x0994, 0x0100, WORD_LEN, 0 }, 
1164 { 0x0996, 0x2406, WORD_LEN, 0 }, 
1165 { 0x0998, 0x0404, WORD_LEN, 0 }, 
1166 { 0x099A, 0xCA80, WORD_LEN, 0 }, 
1167 { 0x099C, 0x2007, WORD_LEN, 0 }, 
1168 { 0x099E, 0xEC04, WORD_LEN, 0 }, 
1169 { 0x098A, 0x0F6B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1170 { 0x0990, 0x0404, WORD_LEN, 0 }, 
1171 { 0x0992, 0x04CA, WORD_LEN, 0 }, 
1172 { 0x0994, 0xC0EE, WORD_LEN, 0 }, 
1173 { 0x0996, 0x00ED, WORD_LEN, 0 }, 
1174 { 0x0998, 0x0038, WORD_LEN, 0 }, 
1175 { 0x099A, 0x3937, WORD_LEN, 0 }, 
1176 { 0x099C, 0x363C, WORD_LEN, 0 }, 
1177 { 0x099E, 0x301F, WORD_LEN, 0 }, 
1178 { 0x098A, 0x0F7B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1179 { 0x0990, 0x0340, WORD_LEN, 0 }, 
1180 { 0x0992, 0x0E1F, WORD_LEN, 0 }, 
1181 { 0x0994, 0x0380, WORD_LEN, 0 }, 
1182 { 0x0996, 0x0AEC, WORD_LEN, 0 }, 
1183 { 0x0998, 0x02C4, WORD_LEN, 0 }, 
1184 { 0x099A, 0x3F4F, WORD_LEN, 0 }, 
1185 { 0x099C, 0x0505, WORD_LEN, 0 }, 
1186 { 0x099E, 0x0520, WORD_LEN, 0 }, 
1187 { 0x098A, 0x0F8B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1188 { 0x0990, 0x1B1F, WORD_LEN, 0 }, 
1189 { 0x0992, 0x0380, WORD_LEN, 0 }, 
1190 { 0x0994, 0x09EC, WORD_LEN, 0 }, 
1191 { 0x0996, 0x02C4, WORD_LEN, 0 }, 
1192 { 0x0998, 0x3F4F, WORD_LEN, 0 }, 
1193 { 0x099A, 0x0505, WORD_LEN, 0 }, 
1194 { 0x099C, 0x200E, WORD_LEN, 0 }, 
1195 { 0x099E, 0x1F03, WORD_LEN, 0 }, 
1196 { 0x098A, 0x0F9B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1197 { 0x0990, 0x4008, WORD_LEN, 0 }, 
1198 { 0x0992, 0xEC02, WORD_LEN, 0 }, 
1199 { 0x0994, 0xC43F, WORD_LEN, 0 }, 
1200 { 0x0996, 0x4F05, WORD_LEN, 0 }, 
1201 { 0x0998, 0x2002, WORD_LEN, 0 }, 
1202 { 0x099A, 0xEC02, WORD_LEN, 0 }, 
1203 { 0x099C, 0xED00, WORD_LEN, 0 }, 
1204 { 0x099E, 0x3838, WORD_LEN, 0 }, 
1205 { 0x098A, 0x8FAB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1206 { 0x0990, 0x0039, WORD_LEN, 0 },        // MCU_DATA_0
1207 { 0x098A, 0x1000, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1208 { 0x0990, 0xCC10, WORD_LEN, 0 }, 
1209 { 0x0992, 0x09BD, WORD_LEN, 0 }, 
1210 { 0x0994, 0x4224, WORD_LEN, 0 }, 
1211 { 0x0996, 0x7E10, WORD_LEN, 0 }, 
1212 { 0x0998, 0x09C6, WORD_LEN, 0 }, 
1213 { 0x099A, 0x01F7, WORD_LEN, 0 }, 
1214 { 0x099C, 0x018A, WORD_LEN, 0 }, 
1215 { 0x099E, 0xC609, WORD_LEN, 0 }, 
1216 { 0x098A, 0x1010, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1217 { 0x0990, 0xF701, WORD_LEN, 0 }, 
1218 { 0x0992, 0x8BDE, WORD_LEN, 0 }, 
1219 { 0x0994, 0x3F18, WORD_LEN, 0 }, 
1220 { 0x0996, 0xCE0B, WORD_LEN, 0 }, 
1221 { 0x0998, 0xF3CC, WORD_LEN, 0 }, 
1222 { 0x099A, 0x0011, WORD_LEN, 0 }, 
1223 { 0x099C, 0xBDD7, WORD_LEN, 0 }, 
1224 { 0x099E, 0x00CC, WORD_LEN, 0 }, 
1225 { 0x098A, 0x1020, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1226 { 0x0990, 0x0BF3, WORD_LEN, 0 }, 
1227 { 0x0992, 0xDD3F, WORD_LEN, 0 }, 
1228 { 0x0994, 0xDE35, WORD_LEN, 0 }, 
1229 { 0x0996, 0x18CE, WORD_LEN, 0 }, 
1230 { 0x0998, 0x0C05, WORD_LEN, 0 }, 
1231 { 0x099A, 0xCC00, WORD_LEN, 0 }, 
1232 { 0x099C, 0x3FBD, WORD_LEN, 0 }, 
1233 { 0x099E, 0xD700, WORD_LEN, 0 }, 
1234 { 0x098A, 0x1030, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1235 { 0x0990, 0xCC0C, WORD_LEN, 0 }, 
1236 { 0x0992, 0x05DD, WORD_LEN, 0 }, 
1237 { 0x0994, 0x35DE, WORD_LEN, 0 }, 
1238 { 0x0996, 0x4718, WORD_LEN, 0 }, 
1239 { 0x0998, 0xCE0C, WORD_LEN, 0 }, 
1240 { 0x099A, 0x45CC, WORD_LEN, 0 }, 
1241 { 0x099C, 0x0015, WORD_LEN, 0 }, 
1242 { 0x099E, 0xBDD7, WORD_LEN, 0 }, 
1243 { 0x098A, 0x1040, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1244 { 0x0990, 0x00CC, WORD_LEN, 0 }, 
1245 { 0x0992, 0x0C45, WORD_LEN, 0 }, 
1246 { 0x0994, 0xDD47, WORD_LEN, 0 }, 
1247 { 0x0996, 0xFE00, WORD_LEN, 0 }, 
1248 { 0x0998, 0x3318, WORD_LEN, 0 }, 
1249 { 0x099A, 0xCE0C, WORD_LEN, 0 }, 
1250 { 0x099C, 0x5BCC, WORD_LEN, 0 }, 
1251 { 0x099E, 0x0009, WORD_LEN, 0 }, 
1252 { 0x098A, 0x1050, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1253 { 0x0990, 0xBDD7, WORD_LEN, 0 }, 
1254 { 0x0992, 0x00CC, WORD_LEN, 0 }, 
1255 { 0x0994, 0x0C5B, WORD_LEN, 0 }, 
1256 { 0x0996, 0xFD00, WORD_LEN, 0 }, 
1257 { 0x0998, 0x33DE, WORD_LEN, 0 }, 
1258 { 0x099A, 0x3118, WORD_LEN, 0 }, 
1259 { 0x099C, 0xCE0C, WORD_LEN, 0 }, 
1260 { 0x099E, 0x65CC, WORD_LEN, 0 }, 
1261 { 0x098A, 0x1060, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1262 { 0x0990, 0x0029, WORD_LEN, 0 }, 
1263 { 0x0992, 0xBDD7, WORD_LEN, 0 }, 
1264 { 0x0994, 0x00CC, WORD_LEN, 0 }, 
1265 { 0x0996, 0x0C65, WORD_LEN, 0 }, 
1266 { 0x0998, 0xDD31, WORD_LEN, 0 }, 
1267 { 0x099A, 0xDE39, WORD_LEN, 0 }, 
1268 { 0x099C, 0x18CE, WORD_LEN, 0 }, 
1269 { 0x099E, 0x0C8F, WORD_LEN, 0 }, 
1270 { 0x098A, 0x1070, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1271 { 0x0990, 0xCC00, WORD_LEN, 0 }, 
1272 { 0x0992, 0x23BD, WORD_LEN, 0 }, 
1273 { 0x0994, 0xD700, WORD_LEN, 0 }, 
1274 { 0x0996, 0xCC0C, WORD_LEN, 0 }, 
1275 { 0x0998, 0x8FDD, WORD_LEN, 0 }, 
1276 { 0x099A, 0x39DE, WORD_LEN, 0 }, 
1277 { 0x099C, 0x4918, WORD_LEN, 0 }, 
1278 { 0x099E, 0xCE0C, WORD_LEN, 0 }, 
1279 { 0x098A, 0x1080, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1280 { 0x0990, 0xB3CC, WORD_LEN, 0 }, 
1281 { 0x0992, 0x000D, WORD_LEN, 0 }, 
1282 { 0x0994, 0xBDD7, WORD_LEN, 0 }, 
1283 { 0x0996, 0x00CC, WORD_LEN, 0 }, 
1284 { 0x0998, 0x0CB3, WORD_LEN, 0 }, 
1285 { 0x099A, 0xDD49, WORD_LEN, 0 }, 
1286 { 0x099C, 0xFC04, WORD_LEN, 0 }, 
1287 { 0x099E, 0xC2FD, WORD_LEN, 0 }, 
1288 { 0x098A, 0x1090, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1289 { 0x0990, 0x0BF1, WORD_LEN, 0 }, 
1290 { 0x0992, 0x18FE, WORD_LEN, 0 }, 
1291 { 0x0994, 0x0BF1, WORD_LEN, 0 }, 
1292 { 0x0996, 0xCDEE, WORD_LEN, 0 }, 
1293 { 0x0998, 0x1518, WORD_LEN, 0 }, 
1294 { 0x099A, 0xCE0C, WORD_LEN, 0 }, 
1295 { 0x099C, 0xC1CC, WORD_LEN, 0 }, 
1296 { 0x099E, 0x0029, WORD_LEN, 0 }, 
1297 { 0x098A, 0x10A0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1298 { 0x0990, 0xBDD7, WORD_LEN, 0 }, 
1299 { 0x0992, 0x00FE, WORD_LEN, 0 }, 
1300 { 0x0994, 0x0BF1, WORD_LEN, 0 }, 
1301 { 0x0996, 0xCC0C, WORD_LEN, 0 }, 
1302 { 0x0998, 0xC1ED, WORD_LEN, 0 }, 
1303 { 0x099A, 0x15CC, WORD_LEN, 0 }, 
1304 { 0x099C, 0x11A5, WORD_LEN, 0 }, 
1305 { 0x099E, 0xFD0B, WORD_LEN, 0 }, 
1306 { 0x098A, 0x10B0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1307 { 0x0990, 0xFFCC, WORD_LEN, 0 }, 
1308 { 0x0992, 0x0CFB, WORD_LEN, 0 }, 
1309 { 0x0994, 0xFD0C, WORD_LEN, 0 }, 
1310 { 0x0996, 0x21CC, WORD_LEN, 0 }, 
1311 { 0x0998, 0x128F, WORD_LEN, 0 }, 
1312 { 0x099A, 0xFD0C, WORD_LEN, 0 }, 
1313 { 0x099C, 0x53CC, WORD_LEN, 0 }, 
1314 { 0x099E, 0x114E, WORD_LEN, 0 }, 
1315 { 0x098A, 0x10C0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1316 { 0x0990, 0xFD0C, WORD_LEN, 0 }, 
1317 { 0x0992, 0x5DCC, WORD_LEN, 0 }, 
1318 { 0x0994, 0x10E2, WORD_LEN, 0 }, 
1319 { 0x0996, 0xFD0C, WORD_LEN, 0 }, 
1320 { 0x0998, 0x6FCC, WORD_LEN, 0 }, 
1321 { 0x099A, 0x0EDD, WORD_LEN, 0 }, 
1322 { 0x099C, 0xFD0C, WORD_LEN, 0 }, 
1323 { 0x099E, 0xD7CC, WORD_LEN, 0 }, 
1324 { 0x098A, 0x10D0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1325 { 0x0990, 0x0EBA, WORD_LEN, 0 }, 
1326 { 0x0992, 0xFD0C, WORD_LEN, 0 }, 
1327 { 0x0994, 0xE9CC, WORD_LEN, 0 }, 
1328 { 0x0996, 0x1350, WORD_LEN, 0 }, 
1329 { 0x0998, 0xFD0C, WORD_LEN, 0 }, 
1330 { 0x099A, 0x9BCC, WORD_LEN, 0 }, 
1331 { 0x099C, 0x0E29, WORD_LEN, 0 }, 
1332 { 0x099E, 0xFD0C, WORD_LEN, 0 }, 
1333 { 0x098A, 0x10E0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1334 { 0x0990, 0xBF39, WORD_LEN, 0 }, 
1335 { 0x0992, 0x373C, WORD_LEN, 0 }, 
1336 { 0x0994, 0x3CDE, WORD_LEN, 0 }, 
1337 { 0x0996, 0x1DEC, WORD_LEN, 0 }, 
1338 { 0x0998, 0x0C5F, WORD_LEN, 0 }, 
1339 { 0x099A, 0x8402, WORD_LEN, 0 }, 
1340 { 0x099C, 0x4416, WORD_LEN, 0 }, 
1341 { 0x099E, 0x4FF7, WORD_LEN, 0 }, 
1342 { 0x098A, 0x10F0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1343 { 0x0990, 0x0CEB, WORD_LEN, 0 }, 
1344 { 0x0992, 0xE60B, WORD_LEN, 0 }, 
1345 { 0x0994, 0xC407, WORD_LEN, 0 }, 
1346 { 0x0996, 0xF70C, WORD_LEN, 0 }, 
1347 { 0x0998, 0xEC7F, WORD_LEN, 0 }, 
1348 { 0x099A, 0x30C4, WORD_LEN, 0 }, 
1349 { 0x099C, 0xEC25, WORD_LEN, 0 }, 
1350 { 0x099E, 0xFD30, WORD_LEN, 0 }, 
1351 { 0x098A, 0x1100, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1352 { 0x0990, 0xC5FC, WORD_LEN, 0 }, 
1353 { 0x0992, 0x06D6, WORD_LEN, 0 }, 
1354 { 0x0994, 0xFD30, WORD_LEN, 0 }, 
1355 { 0x0996, 0xC701, WORD_LEN, 0 }, 
1356 { 0x0998, 0xFC30, WORD_LEN, 0 }, 
1357 { 0x099A, 0xC0FD, WORD_LEN, 0 }, 
1358 { 0x099C, 0x0BED, WORD_LEN, 0 }, 
1359 { 0x099E, 0xFC30, WORD_LEN, 0 }, 
1360 { 0x098A, 0x1110, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1361 { 0x0990, 0xC2FD, WORD_LEN, 0 }, 
1362 { 0x0992, 0x0BEF, WORD_LEN, 0 }, 
1363 { 0x0994, 0xFC04, WORD_LEN, 0 }, 
1364 { 0x0996, 0xC283, WORD_LEN, 0 }, 
1365 { 0x0998, 0xFFFF, WORD_LEN, 0 }, 
1366 { 0x099A, 0x2728, WORD_LEN, 0 }, 
1367 { 0x099C, 0xDE06, WORD_LEN, 0 }, 
1368 { 0x099E, 0xEC22, WORD_LEN, 0 }, 
1369 { 0x098A, 0x1120, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1370 { 0x0990, 0x8322, WORD_LEN, 0 }, 
1371 { 0x0992, 0x0026, WORD_LEN, 0 }, 
1372 { 0x0994, 0x1FCC, WORD_LEN, 0 }, 
1373 { 0x0996, 0x3064, WORD_LEN, 0 }, 
1374 { 0x0998, 0x30ED, WORD_LEN, 0 }, 
1375 { 0x099A, 0x008F, WORD_LEN, 0 }, 
1376 { 0x099C, 0xC300, WORD_LEN, 0 }, 
1377 { 0x099E, 0x02DE, WORD_LEN, 0 }, 
1378 { 0x098A, 0x1130, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1379 { 0x0990, 0x0CAD, WORD_LEN, 0 }, 
1380 { 0x0992, 0x0030, WORD_LEN, 0 }, 
1381 { 0x0994, 0x1D02, WORD_LEN, 0 },
1382 { 0x0996, 0x01CC, WORD_LEN, 0 }, 
1383 { 0x0998, 0x3064, WORD_LEN, 0 },
1384 { 0x099A, 0xED00, WORD_LEN, 0 }, 
1385 { 0x099C, 0x8FC3, WORD_LEN, 0 }, 
1386 { 0x099E, 0x0002, WORD_LEN, 0 }, 
1387 { 0x098A, 0x1140, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1388 { 0x0990, 0xDE0E, WORD_LEN, 0 }, 
1389 { 0x0992, 0xAD00, WORD_LEN, 0 }, 
1390 { 0x0994, 0x30E6, WORD_LEN, 0 }, 
1391 { 0x0996, 0x04BD, WORD_LEN, 0 }, 
1392 { 0x0998, 0x5203, WORD_LEN, 0 }, 
1393 { 0x099A, 0x3838, WORD_LEN, 0 }, 
1394 { 0x099C, 0x3139, WORD_LEN, 0 }, 
1395 { 0x099E, 0x3C3C, WORD_LEN, 0 }, 
1396 { 0x098A, 0x1150, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1397 { 0x0990, 0x3C21, WORD_LEN, 0 }, 
1398 { 0x0992, 0x01CC, WORD_LEN, 0 }, 
1399 { 0x0994, 0x0018, WORD_LEN, 0 }, 
1400 { 0x0996, 0xBD6F, WORD_LEN, 0 }, 
1401 { 0x0998, 0xD1C5, WORD_LEN, 0 }, 
1402 { 0x099A, 0x0426, WORD_LEN, 0 }, 
1403 { 0x099C, 0xF5DC, WORD_LEN, 0 }, 
1404 { 0x099E, 0x2530, WORD_LEN, 0 }, 
1405 { 0x098A, 0x1160, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1406 { 0x0990, 0xED04, WORD_LEN, 0 }, 
1407 { 0x0992, 0x2012, WORD_LEN, 0 }, 
1408 { 0x0994, 0xEE04, WORD_LEN, 0 }, 
1409 { 0x0996, 0x3C18, WORD_LEN, 0 }, 
1410 { 0x0998, 0x38E6, WORD_LEN, 0 }, 
1411 { 0x099A, 0x2118, WORD_LEN, 0 }, 
1412 { 0x099C, 0xE7BE, WORD_LEN, 0 }, 
1413 { 0x099E, 0x30EE, WORD_LEN, 0 }, 
1414 { 0x098A, 0x1170, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1415 { 0x0990, 0x04EC, WORD_LEN, 0 }, 
1416 { 0x0992, 0x1D30, WORD_LEN, 0 }, 
1417 { 0x0994, 0xED04, WORD_LEN, 0 }, 
1418 { 0x0996, 0xEC04, WORD_LEN, 0 }, 
1419 { 0x0998, 0x26EA, WORD_LEN, 0 }, 
1420 { 0x099A, 0xCC00, WORD_LEN, 0 }, 
1421 { 0x099C, 0x1AED, WORD_LEN, 0 }, 
1422 { 0x099E, 0x02CC, WORD_LEN, 0 }, 
1423 { 0x098A, 0x1180, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1424 { 0x0990, 0xFBFF, WORD_LEN, 0 }, 
1425 { 0x0992, 0xED00, WORD_LEN, 0 }, 
1426 { 0x0994, 0xCC04, WORD_LEN, 0 }, 
1427 { 0x0996, 0x00BD, WORD_LEN, 0 }, 
1428 { 0x0998, 0x706D, WORD_LEN, 0 }, 
1429 { 0x099A, 0xCC00, WORD_LEN, 0 }, 
1430 { 0x099C, 0x1A30, WORD_LEN, 0 }, 
1431 { 0x099E, 0xED02, WORD_LEN, 0 }, 
1432 { 0x098A, 0x1190, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1433 { 0x0990, 0xCCFB, WORD_LEN, 0 }, 
1434 { 0x0992, 0xFFED, WORD_LEN, 0 }, 
1435 { 0x0994, 0x005F, WORD_LEN, 0 }, 
1436 { 0x0996, 0x4FBD, WORD_LEN, 0 }, 
1437 { 0x0998, 0x706D, WORD_LEN, 0 }, 
1438 { 0x099A, 0x5FBD, WORD_LEN, 0 }, 
1439 { 0x099C, 0x5B17, WORD_LEN, 0 }, 
1440 { 0x099E, 0xBD55, WORD_LEN, 0 }, 
1441 { 0x098A, 0x11A0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1442 { 0x0990, 0x8B38, WORD_LEN, 0 }, 
1443 { 0x0992, 0x3838, WORD_LEN, 0 }, 
1444 { 0x0994, 0x393C, WORD_LEN, 0 }, 
1445 { 0x0996, 0x3CC6, WORD_LEN, 0 }, 
1446 { 0x0998, 0x40F7, WORD_LEN, 0 }, 
1447 { 0x099A, 0x30C4, WORD_LEN, 0 }, 
1448 { 0x099C, 0xFC0B, WORD_LEN, 0 }, 
1449 { 0x099E, 0xEDFD, WORD_LEN, 0 }, 
1450 { 0x098A, 0x11B0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1451 { 0x0990, 0x30C0, WORD_LEN, 0 }, 
1452 { 0x0992, 0xFC0B, WORD_LEN, 0 }, 
1453 { 0x0994, 0xEFFD, WORD_LEN, 0 }, 
1454 { 0x0996, 0x30C2, WORD_LEN, 0 }, 
1455 { 0x0998, 0xDE1D, WORD_LEN, 0 }, 
1456 { 0x099A, 0xEC25, WORD_LEN, 0 }, 
1457 { 0x099C, 0xFD30, WORD_LEN, 0 }, 
1458 { 0x099E, 0xC501, WORD_LEN, 0 }, 
1459 { 0x098A, 0x11C0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1460 { 0x0990, 0x0101, WORD_LEN, 0 }, 
1461 { 0x0992, 0xFC30, WORD_LEN, 0 }, 
1462 { 0x0994, 0xC2FD, WORD_LEN, 0 }, 
1463 { 0x0996, 0x06D6, WORD_LEN, 0 }, 
1464 { 0x0998, 0xEC0C, WORD_LEN, 0 }, 
1465 { 0x099A, 0x5F84, WORD_LEN, 0 }, 
1466 { 0x099C, 0x0244, WORD_LEN, 0 }, 
1467 { 0x099E, 0x164F, WORD_LEN, 0 }, 
1468 { 0x098A, 0x11D0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1469 { 0x0990, 0x30E7, WORD_LEN, 0 }, 
1470 { 0x0992, 0x03F1, WORD_LEN, 0 }, 
1471 { 0x0994, 0x0CEB, WORD_LEN, 0 }, 
1472 { 0x0996, 0x2715, WORD_LEN, 0 }, 
1473 { 0x0998, 0xF10C, WORD_LEN, 0 }, 
1474 { 0x099A, 0xEB23, WORD_LEN, 0 }, 
1475 { 0x099C, 0x09FC, WORD_LEN, 0 }, 
1476 { 0x099E, 0x06D6, WORD_LEN, 0 }, 
1477 { 0x098A, 0x11E0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1478 { 0x0990, 0x04FD, WORD_LEN, 0 }, 
1479 { 0x0992, 0x06D6, WORD_LEN, 0 }, 
1480 { 0x0994, 0x2007, WORD_LEN, 0 }, 
1481 { 0x0996, 0xFC06, WORD_LEN, 0 }, 
1482 { 0x0998, 0xD605, WORD_LEN, 0 },
1483 { 0x099A, 0xFD06, WORD_LEN, 0 }, 
1484 { 0x099C, 0xD6DE, WORD_LEN, 0 }, 
1485 { 0x099E, 0x1DE6, WORD_LEN, 0 }, 
1486 { 0x098A, 0x11F0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1487 { 0x0990, 0x0BC4, WORD_LEN, 0 }, 
1488 { 0x0992, 0x0730, WORD_LEN, 0 }, 
1489 { 0x0994, 0xE702, WORD_LEN, 0 }, 
1490 { 0x0996, 0xF10C, WORD_LEN, 0 }, 
1491 { 0x0998, 0xEC27, WORD_LEN, 0 }, 
1492 { 0x099A, 0x2C7D, WORD_LEN, 0 }, 
1493 { 0x099C, 0x0CEC, WORD_LEN, 0 }, 
1494 { 0x099E, 0x2727, WORD_LEN, 0 }, 
1495 { 0x098A, 0x1200, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1496 { 0x0990, 0x5D27, WORD_LEN, 0 }, 
1497 { 0x0992, 0x247F, WORD_LEN, 0 }, 
1498 { 0x0994, 0x30C4, WORD_LEN, 0 }, 
1499 { 0x0996, 0xFC06, WORD_LEN, 0 }, 
1500 { 0x0998, 0xD6FD, WORD_LEN, 0 }, 
1501 { 0x099A, 0x30C5, WORD_LEN, 0 }, 
1502 { 0x099C, 0xF60C, WORD_LEN, 0 }, 
1503 { 0x099E, 0xEC4F, WORD_LEN, 0 }, 
1504 { 0x098A, 0x1210, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1505 { 0x0990, 0xFD30, WORD_LEN, 0 }, 
1506 { 0x0992, 0xC7C6, WORD_LEN, 0 }, 
1507 { 0x0994, 0x40F7, WORD_LEN, 0 }, 
1508 { 0x0996, 0x30C4, WORD_LEN, 0 }, 
1509 { 0x0998, 0xE602, WORD_LEN, 0 }, 
1510 { 0x099A, 0x4FFD, WORD_LEN, 0 }, 
1511 { 0x099C, 0x30C5, WORD_LEN, 0 }, 
1512 { 0x099E, 0x0101, WORD_LEN, 0 }, 
1513 { 0x098A, 0x1220, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1514 { 0x0990, 0x01FC, WORD_LEN, 0 }, 
1515 { 0x0992, 0x30C2, WORD_LEN, 0 }, 
1516 { 0x0994, 0xFD06, WORD_LEN, 0 }, 
1517 { 0x0996, 0xD67D, WORD_LEN, 0 }, 
1518 { 0x0998, 0x06CB, WORD_LEN, 0 }, 
1519 { 0x099A, 0x272E, WORD_LEN, 0 }, 
1520 { 0x099C, 0xC640, WORD_LEN, 0 }, 
1521 { 0x099E, 0xF730, WORD_LEN, 0 }, 
1522 { 0x098A, 0x1230, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1523 { 0x0990, 0xC4FC, WORD_LEN, 0 }, 
1524 { 0x0992, 0x06C1, WORD_LEN, 0 }, 
1525 { 0x0994, 0x04F3, WORD_LEN, 0 }, 
1526 { 0x0996, 0x06D6, WORD_LEN, 0 }, 
1527 { 0x0998, 0xED00, WORD_LEN, 0 }, 
1528 { 0x099A, 0x5F6D, WORD_LEN, 0 }, 
1529 { 0x099C, 0x002A, WORD_LEN, 0 }, 
1530 { 0x099E, 0x0153, WORD_LEN, 0 }, 
1531 { 0x098A, 0x1240, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1532 { 0x0990, 0x17FD, WORD_LEN, 0 }, 
1533 { 0x0992, 0x30C0, WORD_LEN, 0 }, 
1534 { 0x0994, 0xEC00, WORD_LEN, 0 }, 
1535 { 0x0996, 0xFD30, WORD_LEN, 0 }, 
1536 { 0x0998, 0xC2FC, WORD_LEN, 0 }, 
1537 { 0x099A, 0x06C1, WORD_LEN, 0 }, 
1538 { 0x099C, 0xFD30, WORD_LEN, 0 }, 
1539 { 0x099E, 0xC501, WORD_LEN, 0 }, 
1540 { 0x098A, 0x1250, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1541 { 0x0990, 0x0101, WORD_LEN, 0 }, 
1542 { 0x0992, 0xFC30, WORD_LEN, 0 }, 
1543 { 0x0994, 0xC2FD, WORD_LEN, 0 }, 
1544 { 0x0996, 0x06C7, WORD_LEN, 0 }, 
1545 { 0x0998, 0x2022, WORD_LEN, 0 }, 
1546 { 0x099A, 0x7F30, WORD_LEN, 0 }, 
1547 { 0x099C, 0xC4DE, WORD_LEN, 0 }, 
1548 { 0x099E, 0x1DEC, WORD_LEN, 0 }, 
1549 { 0x098A, 0x1260, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1550 { 0x0990, 0x25FD, WORD_LEN, 0 }, 
1551 { 0x0992, 0x30C5, WORD_LEN, 0 }, 
1552 { 0x0994, 0xFC06, WORD_LEN, 0 }, 
1553 { 0x0996, 0xD6FD, WORD_LEN, 0 }, 
1554 { 0x0998, 0x30C7, WORD_LEN, 0 }, 
1555 { 0x099A, 0x01FC, WORD_LEN, 0 }, 
1556 { 0x099C, 0x30C0, WORD_LEN, 0 }, 
1557 { 0x099E, 0xFD06, WORD_LEN, 0 }, 
1558 { 0x098A, 0x1270, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1559 { 0x0990, 0xD0FC, WORD_LEN, 0 }, 
1560 { 0x0992, 0x30C2, WORD_LEN, 0 }, 
1561 { 0x0994, 0xFD06, WORD_LEN, 0 }, 
1562 { 0x0996, 0xD2EC, WORD_LEN, 0 }, 
1563 { 0x0998, 0x25FD, WORD_LEN, 0 }, 
1564 { 0x099A, 0x06C3, WORD_LEN, 0 }, 
1565 { 0x099C, 0xBD95, WORD_LEN, 0 }, 
1566 { 0x099E, 0x3CDE, WORD_LEN, 0 }, 
1567 { 0x098A, 0x1280, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1568 { 0x0990, 0x3FEE, WORD_LEN, 0 }, 
1569 { 0x0992, 0x10AD, WORD_LEN, 0 }, 
1570 { 0x0994, 0x00DE, WORD_LEN, 0 }, 
1571 { 0x0996, 0x1DFC, WORD_LEN, 0 }, 
1572 { 0x0998, 0x06CC, WORD_LEN, 0 }, 
1573 { 0x099A, 0xED3E, WORD_LEN, 0 }, 
1574 { 0x099C, 0x3838, WORD_LEN, 0 }, 
1575 { 0x099E, 0x3930, WORD_LEN, 0 }, 
1576 { 0x098A, 0x1290, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1577 { 0x0990, 0x8FC3, WORD_LEN, 0 }, 
1578 { 0x0992, 0xFFEC, WORD_LEN, 0 }, 
1579 { 0x0994, 0x8F35, WORD_LEN, 0 }, 
1580 { 0x0996, 0xBDAD, WORD_LEN, 0 }, 
1581 { 0x0998, 0x15DE, WORD_LEN, 0 }, 
1582 { 0x099A, 0x198F, WORD_LEN, 0 }, 
1583 { 0x099C, 0xC301, WORD_LEN, 0 }, 
1584 { 0x099E, 0x4B8F, WORD_LEN, 0 }, 
1585 { 0x098A, 0x12A0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1586 { 0x0990, 0xEC00, WORD_LEN, 0 }, 
1587 { 0x0992, 0xFD05, WORD_LEN, 0 }, 
1588 { 0x0994, 0x0EEC, WORD_LEN, 0 }, 
1589 { 0x0996, 0x02FD, WORD_LEN, 0 }, 
1590 { 0x0998, 0x0510, WORD_LEN, 0 }, 
1591 { 0x099A, 0x8FC3, WORD_LEN, 0 }, 
1592 { 0x099C, 0xFFCB, WORD_LEN, 0 }, 
1593 { 0x099E, 0x8FE6, WORD_LEN, 0 }, 
1594 { 0x098A, 0x12B0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1595 { 0x0990, 0x00F7, WORD_LEN, 0 }, 
1596 { 0x0992, 0x0514, WORD_LEN, 0 }, 
1597 { 0x0994, 0xE603, WORD_LEN, 0 }, 
1598 { 0x0996, 0xF705, WORD_LEN, 0 }, 
1599 { 0x0998, 0x15FC, WORD_LEN, 0 }, 
1600 { 0x099A, 0x055B, WORD_LEN, 0 }, 
1601 { 0x099C, 0xFD05, WORD_LEN, 0 }, 
1602 { 0x099E, 0x12DE, WORD_LEN, 0 }, 
1603 { 0x098A, 0x12C0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1604 { 0x0990, 0x37EE, WORD_LEN, 0 }, 
1605 { 0x0992, 0x08AD, WORD_LEN, 0 }, 
1606 { 0x0994, 0x00F6, WORD_LEN, 0 }, 
1607 { 0x0996, 0x0516, WORD_LEN, 0 }, 
1608 { 0x0998, 0x4F30, WORD_LEN, 0 }, 
1609 { 0x099A, 0xED04, WORD_LEN, 0 }, 
1610 { 0x099C, 0xDE1F, WORD_LEN, 0 }, 
1611 { 0x099E, 0xEC6B, WORD_LEN, 0 }, 
1612 { 0x098A, 0x12D0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1613 { 0x0990, 0xFD05, WORD_LEN, 0 }, 
1614 { 0x0992, 0x0EEC, WORD_LEN, 0 }, 
1615 { 0x0994, 0x6DFD, WORD_LEN, 0 }, 
1616 { 0x0996, 0x0510, WORD_LEN, 0 }, 
1617 { 0x0998, 0xDE19, WORD_LEN, 0 }, 
1618 { 0x099A, 0x8FC3, WORD_LEN, 0 }, 
1619 { 0x099C, 0x0117, WORD_LEN, 0 }, 
1620 { 0x099E, 0x8FE6, WORD_LEN, 0 }, 
1621 { 0x098A, 0x12E0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1622 { 0x0990, 0x00F7, WORD_LEN, 0 }, 
1623 { 0x0992, 0x0514, WORD_LEN, 0 }, 
1624 { 0x0994, 0xE603, WORD_LEN, 0 }, 
1625 { 0x0996, 0xF705, WORD_LEN, 0 }, 
1626 { 0x0998, 0x15FC, WORD_LEN, 0 }, 
1627 { 0x099A, 0x0559, WORD_LEN, 0 }, 
1628 { 0x099C, 0xFD05, WORD_LEN, 0 }, 
1629 { 0x099E, 0x12DE, WORD_LEN, 0 }, 
1630 { 0x098A, 0x12F0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1631 { 0x0990, 0x37EE, WORD_LEN, 0 }, 
1632 { 0x0992, 0x08AD, WORD_LEN, 0 }, 
1633 { 0x0994, 0x00F6, WORD_LEN, 0 }, 
1634 { 0x0996, 0x0516, WORD_LEN, 0 }, 
1635 { 0x0998, 0x4F30, WORD_LEN, 0 }, 
1636 { 0x099A, 0xED06, WORD_LEN, 0 }, 
1637 { 0x099C, 0xDE1F, WORD_LEN, 0 }, 
1638 { 0x099E, 0xEC6B, WORD_LEN, 0 }, 
1639 { 0x098A, 0x1300, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1640 { 0x0990, 0xFD05, WORD_LEN, 0 }, 
1641 { 0x0992, 0x0EEC, WORD_LEN, 0 }, 
1642 { 0x0994, 0x6DFD, WORD_LEN, 0 }, 
1643 { 0x0996, 0x0510, WORD_LEN, 0 }, 
1644 { 0x0998, 0xDE19, WORD_LEN, 0 }, 
1645 { 0x099A, 0x8FC3, WORD_LEN, 0 }, 
1646 { 0x099C, 0x0118, WORD_LEN, 0 }, 
1647 { 0x099E, 0x8FE6, WORD_LEN, 0 }, 
1648 { 0x098A, 0x1310, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1649 { 0x0990, 0x00F7, WORD_LEN, 0 }, 
1650 { 0x0992, 0x0514, WORD_LEN, 0 }, 
1651 { 0x0994, 0xE603, WORD_LEN, 0 }, 
1652 { 0x0996, 0xF705, WORD_LEN, 0 }, 
1653 { 0x0998, 0x15FC, WORD_LEN, 0 }, 
1654 { 0x099A, 0x0559, WORD_LEN, 0 }, 
1655 { 0x099C, 0xFD05, WORD_LEN, 0 }, 
1656 { 0x099E, 0x12DE, WORD_LEN, 0 }, 
1657 { 0x098A, 0x1320, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1658 { 0x0990, 0x37EE, WORD_LEN, 0 }, 
1659 { 0x0992, 0x08AD, WORD_LEN, 0 }, 
1660 { 0x0994, 0x00F6, WORD_LEN, 0 }, 
1661 { 0x0996, 0x0516, WORD_LEN, 0 }, 
1662 { 0x0998, 0x4F30, WORD_LEN, 0 }, 
1663 { 0x099A, 0xED08, WORD_LEN, 0 }, 
1664 { 0x099C, 0xCC32, WORD_LEN, 0 }, 
1665 { 0x099E, 0x8EED, WORD_LEN, 0 }, 
1666 { 0x098A, 0x1330, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1667 { 0x0990, 0x00EC, WORD_LEN, 0 }, 
1668 { 0x0992, 0x04BD, WORD_LEN, 0 }, 
1669 { 0x0994, 0x7021, WORD_LEN, 0 }, 
1670 { 0x0996, 0xCC32, WORD_LEN, 0 }, 
1671 { 0x0998, 0x6C30, WORD_LEN, 0 }, 
1672 { 0x099A, 0xED02, WORD_LEN, 0 }, 
1673 { 0x099C, 0xCCF8, WORD_LEN, 0 }, 
1674 { 0x099E, 0x00ED, WORD_LEN, 0 }, 
1675 { 0x098A, 0x1340, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1676 { 0x0990, 0x00A6, WORD_LEN, 0 }, 
1677 { 0x0992, 0x07E3, WORD_LEN, 0 }, 
1678 { 0x0994, 0x0884, WORD_LEN, 0 }, 
1679 { 0x0996, 0x07BD, WORD_LEN, 0 }, 
1680 { 0x0998, 0x706D, WORD_LEN, 0 }, 
1681 { 0x099A, 0x30C6, WORD_LEN, 0 }, 
1682 { 0x099C, 0x143A, WORD_LEN, 0 }, 
1683 { 0x099E, 0x3539, WORD_LEN, 0 }, 
1684 { 0x098A, 0x1350, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1685 { 0x0990, 0x3CBD, WORD_LEN, 0 }, 
1686 { 0x0992, 0x776D, WORD_LEN, 0 }, 
1687 { 0x0994, 0xCC32, WORD_LEN, 0 }, 
1688 { 0x0996, 0x5C30, WORD_LEN, 0 }, 
1689 { 0x0998, 0xED00, WORD_LEN, 0 }, 
1690 { 0x099A, 0xFC13, WORD_LEN, 0 }, 
1691 { 0x099C, 0x8683, WORD_LEN, 0 }, 
1692 { 0x099E, 0x0001, WORD_LEN, 0 }, 
1693 { 0x098A, 0x1360, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1694 { 0x0990, 0xBD70, WORD_LEN, 0 }, 
1695 { 0x0992, 0x21CC, WORD_LEN, 0 }, 
1696 { 0x0994, 0x325E, WORD_LEN, 0 }, 
1697 { 0x0996, 0x30ED, WORD_LEN, 0 }, 
1698 { 0x0998, 0x00FC, WORD_LEN, 0 }, 
1699 { 0x099A, 0x1388, WORD_LEN, 0 }, 
1700 { 0x099C, 0x8300, WORD_LEN, 0 }, 
1701 { 0x099E, 0x01BD, WORD_LEN, 0 }, 
1702 { 0x098A, 0x1370, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1703 { 0x0990, 0x7021, WORD_LEN, 0 }, 
1704 { 0x0992, 0x3839, WORD_LEN, 0 }, 
1705 { 0x098E, 0x0010, WORD_LEN, 0 },        // MCU_ADDRESS [MON_ADDR]
1706 { 0x0990, 0x1000, WORD_LEN, 0 },        // MCU_DATA_0
1707 { 0x098E, 0x0003, WORD_LEN, 0 },        // MCU_ADDRESS [MON_ALGO]
1708 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
1709         
1710 { SEQUENCE_WAIT_MS,50, WORD_LEN, 0},
1711
1712 { 0x098E, 0x4815, WORD_LEN, 0 },        // MCU_ADDRESS
1713 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
1714 { 0x098E, 0x485D, WORD_LEN, 0 },        // MCU_ADDRESS
1715 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
1716 { 0x0018, 0x0028, WORD_LEN, 0 },        // STANDBY_CONTROL_AND_STATUS
1717
1718 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
1719
1720 //awb   
1721 { 0x098E, 0xAC02, WORD_LEN, 0 },     // MCU_ADDRESS [AWB_MODE]                     
1722 { 0x0990, 0x000A, WORD_LEN, 0 },      // MCU_DATA_0                             
1723 { 0x098E, 0x2C03, WORD_LEN, 0 },      // MCU_ADDRESS                            
1724 { 0x0990, 0x01ff, WORD_LEN, 0 },      // MCU_DATA_0                             
1725 { 0x098E, 0x683F, WORD_LEN, 0 },      // MCU_ADDRESS [PRI_A_CONFIG_AWB_ALGO_RUN]
1726 { 0x0990, 0x01fF, WORD_LEN, 0 },      // MCU_DATA_0                             
1727 { 0x098E, 0x8400, WORD_LEN, 0 },      // MCU_ADDRESS [SEQ_CMD]                  
1728 { 0x0990, 0x0005, WORD_LEN, 0 },      // MCU_DATA_0    
1729     
1730 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
1731
1732 //banding AUTO
1733 { 0x098E, 0x6811, WORD_LEN, 0 },             // MCU_ADDRESS [PRI_A_CONFIG_FD_ALGO_RUN]                         
1734 { 0x0990, 0x0003, WORD_LEN, 0 },             // MCU_DATA_0                            
1735 { 0x098E, 0x8400, WORD_LEN, 0 },             // MCU_ADDRESS [SEQ_CMD]                 
1736 { 0x0990, 0x0005, WORD_LEN, 0 },             // MCU_DATA_0   
1737         
1738 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
1739
1740 //effect off
1741 { 0x098E, 0xE883, WORD_LEN, 0 },                         // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]        
1742 { 0x0990, 0x0000, WORD_LEN, 0 },                         // MCU_DATA_0                                                                   
1743 { 0x098E, 0xEC83, WORD_LEN, 0 },                 // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
1744 { 0x0990, 0x0000, WORD_LEN, 0 },                         // MCU_DATA_0                                  
1745 { 0x098E, 0x8400, WORD_LEN, 0 },                         // MCU_ADDRESS [SEQ_CMD]                       
1746 { 0x0990, 0x0006, WORD_LEN, 0 },                         // MCU_DATA_0     
1747
1748 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
1749
1750 //contrast level=3
1751 { 0x098E, 0xBC0B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_0]                              
1752 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0                              
1753 { 0x098E, 0xBC0C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_1] 
1754 { 0x0990, 0x000A, WORD_LEN, 0 },        // MCU_DATA_0                              
1755 { 0x098E, 0xBC0D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_2] 
1756 { 0x0990, 0x001B, WORD_LEN, 0 },        // MCU_DATA_0                              
1757 { 0x098E, 0xBC0E, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_3] 
1758 { 0x0990, 0x0031, WORD_LEN, 0 },        // MCU_DATA_0                              
1759 { 0x098E, 0xBC0F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_4] 
1760 { 0x0990, 0x0052, WORD_LEN, 0 },        // MCU_DATA_0                              
1761 { 0x098E, 0xBC10, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_5] 
1762 { 0x0990, 0x006E, WORD_LEN, 0 },        // MCU_DATA_0                              
1763 { 0x098E, 0xBC11, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_6] 
1764 { 0x0990, 0x0089, WORD_LEN, 0 },        // MCU_DATA_0                              
1765 { 0x098E, 0xBC12, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_7] 
1766 { 0x0990, 0x009F, WORD_LEN, 0 },        // MCU_DATA_0                              
1767 { 0x098E, 0xBC13, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_8] 
1768 { 0x0990, 0x00B0, WORD_LEN, 0 },        // MCU_DATA_0                              
1769 { 0x098E, 0xBC14, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_9] 
1770 { 0x0990, 0x00BD, WORD_LEN, 0 },        // MCU_DATA_0                              
1771 { 0x098E, 0xBC15, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_10]
1772 { 0x0990, 0x00C9, WORD_LEN, 0 },        // MCU_DATA_0                              
1773 { 0x098E, 0xBC16, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_11]
1774 { 0x0990, 0x00D3, WORD_LEN, 0 },        // MCU_DATA_0                              
1775 { 0x098E, 0xBC17, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_12]
1776 { 0x0990, 0x00DC, WORD_LEN, 0 },        // MCU_DATA_0                              
1777 { 0x098E, 0xBC18, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_13]
1778 { 0x0990, 0x00E3, WORD_LEN, 0 },        // MCU_DATA_0                              
1779 { 0x098E, 0xBC19, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_14]
1780 { 0x0990, 0x00EA, WORD_LEN, 0 },        // MCU_DATA_0                              
1781 { 0x098E, 0xBC1A, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_15]
1782 { 0x0990, 0x00F0, WORD_LEN, 0 },        // MCU_DATA_0                              
1783 { 0x098E, 0xBC1B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_16]
1784 { 0x0990, 0x00F5, WORD_LEN, 0 },        // MCU_DATA_0                              
1785 { 0x098E, 0xBC1C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_17]
1786 { 0x0990, 0x00FA, WORD_LEN, 0 },        // MCU_DATA_0                              
1787 { 0x098E, 0xBC1D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_18]
1788 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0 
1789 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]                   
1790 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
1791
1792 { SEQUENCE_WAIT_MS,200, WORD_LEN, 0},
1793                              
1794 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]                   
1795 { 0x0990, 0x0005, WORD_LEN, 0 },        // MCU_DATA_0  
1796      
1797 #else
1798 {0x001A, 0x0219, WORD_LEN, 0 },         // RESET_AND_MISC_CONTROL
1799 {0x001A, 0x0018, WORD_LEN, 0 },         // RESET_AND_MISC_CONTROL
1800 {0x0014, 0x2425, WORD_LEN, 0 },         // PLL_CONTROL
1801 {0x0014, 0x2425, WORD_LEN, 0 },         // PLL_CONTROL
1802 {0x0014, 0x2145, WORD_LEN, 0 },         // PLL_CONTROL
1803
1804 {0x0010, 0x0110, WORD_LEN, 0 },         // PLL_DIVIDERS//31E
1805 {0x0012, 0x0070, WORD_LEN, 0 },         // PLL_P_DIVIDERS//E0
1806 {0x002A, 0x77BB, WORD_LEN, 0 },         // PLL_P4_P5_P6_DIVIDERS//7EFF
1807 {0x001A, 0x0218, WORD_LEN, 0 },         // RESET_AND_MISC_CONTROL
1808
1809 {0x0014, 0x2545, WORD_LEN, 0 },         // PLL_CONTROL
1810 {0x0014, 0x2547, WORD_LEN, 0 },         // PLL_CONTROL
1811 {0x0014, 0x2447, WORD_LEN, 0 },         // PLL_CONTROL
1812 {0x0014, 0x2047, WORD_LEN, 0 },         // PLL_CONTROL
1813
1814 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
1815 //  POLL  PLL_CONTROL::PLL_LOCK =>  0x01
1816 {0x0014, 0x2046, WORD_LEN, 0 },         // PLL_CONTROL
1817 {0x0022, 0x01E0, WORD_LEN, 0 },         // VDD_DIS_COUNTER//208
1818 {0x001E, 0x0777, WORD_LEN, 0 },         // PAD_SLEW_PAD_CONFIG
1819 {0x0016, 0x0400, WORD_LEN, 0 },         // CLOCKS_CONTROL
1820 {0x001E, 0x0777, WORD_LEN, 0 },         // PAD_SLEW_PAD_CONFIG
1821 {0x0018, 0x402D, WORD_LEN, 0 },         // STANDBY_CONTROL_AND_STATUS
1822 {0x0018, 0x402C, WORD_LEN, 0 },         // STANDBY_CONTROL_AND_STATUS
1823
1824 {0x001e,0x0006, WORD_LEN, 0 },  //adjust slew rate to minimize EMI
1825 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
1826
1827 //  POLL  STANDBY_CONTROL_AND_STATUS::STANDBY_DONE =>  0x00
1828 //{0x098E, 0x6006, WORD_LEN, 0 },       // MCU_ADDRESS
1829 //{0x0990, 0x007A, WORD_LEN, 0 },       // MCU_DATA_0
1830 {0x098E, 0x6800, WORD_LEN, 0 },         // MCU_ADDRESS
1831 {0x0990, 0x0320, WORD_LEN, 0 },         // MCU_DATA_0
1832 {0x098E, 0x6802, WORD_LEN, 0 },         // MCU_ADDRESS
1833 {0x0990, 0x0258, WORD_LEN, 0 },         // MCU_DATA_0
1834 {0x098E, 0xE88E, WORD_LEN, 0 },         // MCU_ADDRESS
1835 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1836 {0x098E, 0x68A0, WORD_LEN, 0 },         // MCU_ADDRESS
1837 {0x0990, 0x082D, WORD_LEN, 0 },         // MCU_DATA_0
1838 {0x098E, 0x4802, WORD_LEN, 0 },         // MCU_ADDRESS
1839 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1840 {0x098E, 0x4804, WORD_LEN, 0 },         // MCU_ADDRESS
1841 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1842 {0x098E, 0x4806, WORD_LEN, 0 },         // MCU_ADDRESS
1843 {0x0990, 0x060D, WORD_LEN, 0 },         // MCU_DATA_0
1844 {0x098E, 0x4808, WORD_LEN, 0 },         // MCU_ADDRESS
1845 {0x0990, 0x080D, WORD_LEN, 0 },         // MCU_DATA_0
1846 {0x098E, 0x480A, WORD_LEN, 0 },         // MCU_ADDRESS
1847 {0x0990, 0x0111, WORD_LEN, 0 },         // MCU_DATA_0
1848 {0x098E, 0x480C, WORD_LEN, 0 },         // MCU_ADDRESS
1849 {0x0990, 0x046C, WORD_LEN, 0 },         // MCU_DATA_0
1850 {0x098E, 0x480F, WORD_LEN, 0 },         // MCU_ADDRESS
1851 {0x0990, 0x00CC, WORD_LEN, 0 },         // MCU_DATA_0
1852 {0x098E, 0x4811, WORD_LEN, 0 },         // MCU_ADDRESS
1853 {0x0990, 0x0381, WORD_LEN, 0 },         // MCU_DATA_0
1854 {0x098E, 0x4813, WORD_LEN, 0 },         // MCU_ADDRESS
1855 {0x0990, 0x024F, WORD_LEN, 0 },         // MCU_DATA_0
1856 {0x098E, 0x481D, WORD_LEN, 0 },         // MCU_ADDRESS
1857 {0x0990, 0x03BE, WORD_LEN, 0 },         // MCU_DATA_0//35C
1858 {0x098E, 0x481F, WORD_LEN, 0 },         // MCU_ADDRESS
1859 {0x0990, 0x05D0, WORD_LEN, 0 },         // MCU_DATA_0
1860 {0x098E, 0x4825, WORD_LEN, 0 },         // MCU_ADDRESS
1861 {0x0990, 0x07AC, WORD_LEN, 0 },         // MCU_DATA_0//85E
1862 //{0x098E, 0x482B, WORD_LEN, 0 },       // MCU_ADDRESS//
1863 //{0x0990, 0x0408, WORD_LEN, 0 },       // MCU_DATA_0//
1864 //{0x098E, 0x482D, WORD_LEN, 0 },       // MCU_ADDRESS//
1865 //{0x0990, 0x0308, WORD_LEN, 0 },       // MCU_DATA_0//
1866 {0x098E, 0x6C00, WORD_LEN, 0 },         // MCU_ADDRESS
1867 {0x0990, 0x0800, WORD_LEN, 0 },         // MCU_DATA_0
1868 {0x098E, 0x6C02, WORD_LEN, 0 },         // MCU_ADDRESS
1869 {0x0990, 0x0600, WORD_LEN, 0 },         // MCU_DATA_0
1870 {0x098E, 0xEC8E, WORD_LEN, 0 },         // MCU_ADDRESS
1871 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1872 {0x098E, 0x6CA0, WORD_LEN, 0 },         // MCU_ADDRESS
1873 {0x0990, 0x082D, WORD_LEN, 0 },         // MCU_DATA_0
1874 {0x098E, 0x484A, WORD_LEN, 0 },         // MCU_ADDRESS
1875 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
1876 {0x098E, 0x484C, WORD_LEN, 0 },         // MCU_ADDRESS
1877 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
1878 {0x098E, 0x484E, WORD_LEN, 0 },         // MCU_ADDRESS
1879 {0x0990, 0x060B, WORD_LEN, 0 },         // MCU_DATA_0
1880 {0x098E, 0x4850, WORD_LEN, 0 },         // MCU_ADDRESS
1881 {0x0990, 0x080B, WORD_LEN, 0 },         // MCU_DATA_0
1882 {0x098E, 0x4852, WORD_LEN, 0 },         // MCU_ADDRESS
1883 {0x0990, 0x0111, WORD_LEN, 0 },         // MCU_DATA_0
1884 {0x098E, 0x4854, WORD_LEN, 0 },         // MCU_ADDRESS
1885 {0x0990, 0x0024, WORD_LEN, 0 },         // MCU_DATA_0
1886 {0x098E, 0x4857, WORD_LEN, 0 },         // MCU_ADDRESS
1887 {0x0990, 0x008C, WORD_LEN, 0 },         // MCU_DATA_0
1888 {0x098E, 0x4859, WORD_LEN, 0 },         // MCU_ADDRESS
1889 {0x0990, 0x01F1, WORD_LEN, 0 },         // MCU_DATA_0
1890 {0x098E, 0x485B, WORD_LEN, 0 },         // MCU_ADDRESS
1891 {0x0990, 0x00FF, WORD_LEN, 0 },         // MCU_DATA_0
1892 {0x098E, 0x4865, WORD_LEN, 0 },         // MCU_ADDRESS
1893 {0x0990, 0x067C, WORD_LEN, 0 },         // MCU_DATA_0//687
1894 {0x098E, 0x4867, WORD_LEN, 0 },         // MCU_ADDRESS
1895 {0x0990, 0x0378, WORD_LEN, 0 },         // MCU_DATA_0
1896 {0x098E, 0x486D, WORD_LEN, 0 },         // MCU_ADDRESS
1897 {0x0990, 0x0BAC, WORD_LEN, 0 },         // MCU_DATA_0//103B
1898 {0x098E, 0x4873, WORD_LEN, 0 },         // MCU_ADDRESS
1899 {0x0990, 0x0808, WORD_LEN, 0 },         // MCU_DATA_0
1900 {0x098E, 0x4875, WORD_LEN, 0 },         // MCU_ADDRESS
1901 {0x0990, 0x0608, WORD_LEN, 0 },         // MCU_DATA_0
1902 {0x098E, 0xC8A5, WORD_LEN, 0 },         // MCU_ADDRESS
1903 {0x0990, 0x0021, WORD_LEN, 0 },         // MCU_DATA_0//001E
1904 {0x098E, 0xC8A6, WORD_LEN, 0 },         // MCU_ADDRESS
1905 {0x0990, 0x0023, WORD_LEN, 0 },         // MCU_DATA_0//0020
1906 {0x098E, 0xC8A7, WORD_LEN, 0 },         // MCU_ADDRESS
1907 {0x0990, 0x0027, WORD_LEN, 0 },         // MCU_DATA_0//25
1908 {0x098E, 0xC8A8, WORD_LEN, 0 },         // MCU_ADDRESS
1909 {0x0990, 0x0029, WORD_LEN, 0 },         // MCU_DATA_0//27
1910 {0x098E, 0xC844, WORD_LEN, 0 },         // MCU_ADDRESS
1911 {0x0990, 0x00A3, WORD_LEN, 0 },         // MCU_DATA_0//72
1912 {0x098E, 0xC92F, WORD_LEN, 0 },         // MCU_ADDRESS
1913 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1914 {0x098E, 0xC845, WORD_LEN, 0 },         // MCU_ADDRESS
1915 {0x0990, 0x0088, WORD_LEN, 0 },         // MCU_DATA_0//5F
1916 {0x098E, 0xC92D, WORD_LEN, 0 },         // MCU_ADDRESS
1917 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1918 {0x098E, 0xC88C, WORD_LEN, 0 },         // MCU_ADDRESS
1919 {0x0990, 0x006B, WORD_LEN, 0 },         // MCU_DATA_0//3B
1920 {0x098E, 0xC930, WORD_LEN, 0 },         // MCU_ADDRESS
1921 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1922 {0x098E, 0xC88D, WORD_LEN, 0 },         // MCU_ADDRESS
1923 {0x0990, 0x0059, WORD_LEN, 0 },         // MCU_DATA_0//31
1924 {0x098E, 0xC92E, WORD_LEN, 0 },         // MCU_ADDRESS
1925 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1926 {0x098E, 0xB825, WORD_LEN, 0 },         // MCU_ADDRESS
1927 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0//0003
1928 {0x098E, 0xA009, WORD_LEN, 0 },         // MCU_ADDRESS
1929 {0x0990, 0x0002, WORD_LEN, 0 },         // MCU_DATA_0
1930 {0x098E, 0xA00A, WORD_LEN, 0 },         // MCU_ADDRESS
1931 {0x0990, 0x0003, WORD_LEN, 0 },         // MCU_DATA_0
1932 {0x098E, 0xA00C, WORD_LEN, 0 },         // MCU_ADDRESS
1933 {0x0990, 0x000A, WORD_LEN, 0 },         // MCU_DATA_0
1934 {0x098E, 0x4846, WORD_LEN, 0 },         // MCU_ADDRESS
1935 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
1936 {0x098E, 0x68AA, WORD_LEN, 0 },         // MCU_ADDRESS
1937 {0x0990, 0x021E, WORD_LEN, 0 },         // MCU_DATA_0//24C
1938 {0x098E, 0x6815, WORD_LEN, 0 },         // MCU_ADDRESS
1939 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0//0008
1940 {0x098E, 0x6817, WORD_LEN, 0 },         // MCU_ADDRESS
1941 {0x0990, 0x0007, WORD_LEN, 0 },         // MCU_DATA_0//0009
1942 {0x098E, 0x682D, WORD_LEN, 0 },         // MCU_ADDRESS
1943 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0//0008
1944 {0x098E, 0x488E, WORD_LEN, 0 },         // MCU_ADDRESS
1945 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
1946 {0x098E, 0x6CAA, WORD_LEN, 0 },         // MCU_ADDRESS
1947 {0x0990, 0x016E, WORD_LEN, 0 },         // MCU_DATA_0//0058
1948 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS
1949 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
1950 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS
1951 {0x0990, 0x0005, WORD_LEN, 0 },         // MCU_DATA_0
1952 {0x3C20, 0x0000, WORD_LEN, 0 },         // TX_SS_CONTROL
1953 /*
1954 {0x364A, 0x7D2F, WORD_LEN, 0 },         // P_R_P0Q0
1955 {0x364C, 0x79EB, WORD_LEN, 0 },         // P_R_P0Q1
1956 {0x364E, 0x18D2, WORD_LEN, 0 },         // P_R_P0Q2
1957 {0x3650, 0x9F8F, WORD_LEN, 0 },         // P_R_P0Q3
1958 {0x3652, 0xA7D2, WORD_LEN, 0 },         // P_R_P0Q4
1959 {0x368A, 0x460C, WORD_LEN, 0 },         // P_R_P1Q0
1960 {0x368C, 0x14F0, WORD_LEN, 0 },         // P_R_P1Q1
1961 {0x368E, 0x946F, WORD_LEN, 0 },         // P_R_P1Q2
1962 {0x3690, 0xC471, WORD_LEN, 0 },         // P_R_P1Q3
1963 {0x3692, 0x04B1, WORD_LEN, 0 },         // P_R_P1Q4
1964 {0x36CA, 0x0433, WORD_LEN, 0 },         // P_R_P2Q0
1965 {0x36CC, 0x680D, WORD_LEN, 0 },         // P_R_P2Q1
1966 {0x36CE, 0xEEF3, WORD_LEN, 0 },         // P_R_P2Q2
1967 {0x36D0, 0x4850, WORD_LEN, 0 },         // P_R_P2Q3
1968 {0x36D2, 0xF233, WORD_LEN, 0 },         // P_R_P2Q4
1969 {0x370A, 0xB2AF, WORD_LEN, 0 },         // P_R_P3Q0
1970 {0x370C, 0x2CF0, WORD_LEN, 0 },         // P_R_P3Q1
1971 {0x370E, 0x3F10, WORD_LEN, 0 },         // P_R_P3Q2
1972 {0x3710, 0xC673, WORD_LEN, 0 },         // P_R_P3Q3
1973 {0x3712, 0xA972, WORD_LEN, 0 },         // P_R_P3Q4
1974 {0x374A, 0x0590, WORD_LEN, 0 },         // P_R_P4Q0
1975 {0x374C, 0xAFB3, WORD_LEN, 0 },         // P_R_P4Q1
1976 {0x374E, 0x93D7, WORD_LEN, 0 },         // P_R_P4Q2
1977 {0x3750, 0x8D12, WORD_LEN, 0 },         // P_R_P4Q3
1978 {0x3752, 0x2539, WORD_LEN, 0 },         // P_R_P4Q4
1979 {0x3640, 0x0350, WORD_LEN, 0 },         // P_G1_P0Q0
1980 {0x3642, 0x322C, WORD_LEN, 0 },         // P_G1_P0Q1
1981 {0x3644, 0x77D1, WORD_LEN, 0 },         // P_G1_P0Q2
1982 {0x3646, 0xA26F, WORD_LEN, 0 },         // P_G1_P0Q3
1983 {0x3648, 0xC872, WORD_LEN, 0 },         // P_G1_P0Q4
1984 {0x3680, 0x0C4C, WORD_LEN, 0 },         // P_G1_P1Q0
1985 {0x3682, 0x9510, WORD_LEN, 0 },         // P_G1_P1Q1
1986 {0x3684, 0x110E, WORD_LEN, 0 },         // P_G1_P1Q2
1987 {0x3686, 0x4331, WORD_LEN, 0 },         // P_G1_P1Q3
1988 {0x3688, 0xC1CF, WORD_LEN, 0 },         // P_G1_P1Q4
1989 {0x36C0, 0x6152, WORD_LEN, 0 },         // P_G1_P2Q0
1990 {0x36C2, 0x038E, WORD_LEN, 0 },         // P_G1_P2Q1
1991 {0x36C4, 0x9AF4, WORD_LEN, 0 },         // P_G1_P2Q2
1992 {0x36C6, 0xE12F, WORD_LEN, 0 },         // P_G1_P2Q3
1993 {0x36C8, 0x09F3, WORD_LEN, 0 },         // P_G1_P2Q4
1994 {0x3700, 0xC5AF, WORD_LEN, 0 },         // P_G1_P3Q0
1995 {0x3702, 0xCA90, WORD_LEN, 0 },         // P_G1_P3Q1
1996 {0x3704, 0x5D0F, WORD_LEN, 0 },         // P_G1_P3Q2
1997 {0x3706, 0x3293, WORD_LEN, 0 },         // P_G1_P3Q3
1998 {0x3708, 0x2B92, WORD_LEN, 0 },         // P_G1_P3Q4
1999 {0x3740, 0xC590, WORD_LEN, 0 },         // P_G1_P4Q0
2000 {0x3742, 0x8133, WORD_LEN, 0 },         // P_G1_P4Q1
2001 {0x3744, 0xE0F6, WORD_LEN, 0 },         // P_G1_P4Q2
2002 {0x3746, 0x0254, WORD_LEN, 0 },         // P_G1_P4Q3
2003 {0x3748, 0x10B9, WORD_LEN, 0 },         // P_G1_P4Q4
2004 {0x3654, 0x7F8F, WORD_LEN, 0 },         // P_B_P0Q0
2005 {0x3656, 0x6F6C, WORD_LEN, 0 },         // P_B_P0Q1
2006 {0x3658, 0x5971, WORD_LEN, 0 },         // P_B_P0Q2
2007 {0x365A, 0x9A0F, WORD_LEN, 0 },         // P_B_P0Q3
2008 {0x365C, 0xA1B2, WORD_LEN, 0 },         // P_B_P0Q4
2009 {0x3694, 0xB00C, WORD_LEN, 0 },         // P_B_P1Q0
2010 {0x3696, 0xEBCF, WORD_LEN, 0 },         // P_B_P1Q1
2011 {0x3698, 0x06AD, WORD_LEN, 0 },         // P_B_P1Q2
2012 {0x369A, 0x4D31, WORD_LEN, 0 },         // P_B_P1Q3
2013 {0x369C, 0x2A4E, WORD_LEN, 0 },         // P_B_P1Q4
2014 {0x36D4, 0x4752, WORD_LEN, 0 },         // P_B_P2Q0
2015 {0x36D6, 0x724D, WORD_LEN, 0 },         // P_B_P2Q1
2016 {0x36D8, 0xAD34, WORD_LEN, 0 },         // P_B_P2Q2
2017 {0x36DA, 0x1350, WORD_LEN, 0 },         // P_B_P2Q3
2018 {0x36DC, 0x4E94, WORD_LEN, 0 },         // P_B_P2Q4
2019 {0x3714, 0xA06E, WORD_LEN, 0 },         // P_B_P3Q0
2020 {0x3716, 0x9152, WORD_LEN, 0 },         // P_B_P3Q1
2021 {0x3718, 0x1F53, WORD_LEN, 0 },         // P_B_P3Q2
2022 {0x371A, 0x3933, WORD_LEN, 0 },         // P_B_P3Q3
2023 {0x371C, 0xBA94, WORD_LEN, 0 },         // P_B_P3Q4
2024 {0x3754, 0x1233, WORD_LEN, 0 },         // P_B_P4Q0
2025 {0x3756, 0xA032, WORD_LEN, 0 },         // P_B_P4Q1
2026 {0x3758, 0xE936, WORD_LEN, 0 },         // P_B_P4Q2
2027 {0x375A, 0xBE34, WORD_LEN, 0 },         // P_B_P4Q3
2028 {0x375C, 0x02D9, WORD_LEN, 0 },         // P_B_P4Q4
2029 {0x365E, 0x7DEF, WORD_LEN, 0 },         // P_G2_P0Q0
2030 {0x3660, 0x434B, WORD_LEN, 0 },         // P_G2_P0Q1
2031 {0x3662, 0x69F1, WORD_LEN, 0 },         // P_G2_P0Q2
2032 {0x3664, 0x8A0F, WORD_LEN, 0 },         // P_G2_P0Q3
2033 {0x3666, 0xBDB2, WORD_LEN, 0 },         // P_G2_P0Q4
2034 {0x369E, 0x290D, WORD_LEN, 0 },         // P_G2_P1Q0
2035 {0x36A0, 0x42CF, WORD_LEN, 0 },         // P_G2_P1Q1
2036 {0x36A2, 0xDC6D, WORD_LEN, 0 },         // P_G2_P1Q2
2037 {0x36A4, 0x91B1, WORD_LEN, 0 },         // P_G2_P1Q3
2038 {0x36A6, 0x9DE9, WORD_LEN, 0 },         // P_G2_P1Q4
2039 {0x36DE, 0x70B2, WORD_LEN, 0 },         // P_G2_P2Q0
2040 {0x36E0, 0x02AC, WORD_LEN, 0 },         // P_G2_P2Q1
2041 {0x36E2, 0x9714, WORD_LEN, 0 },         // P_G2_P2Q2
2042 {0x36E4, 0xF3CF, WORD_LEN, 0 },         // P_G2_P2Q3
2043 {0x36E6, 0x6BD1, WORD_LEN, 0 },         // P_G2_P2Q4
2044 {0x371E, 0xE42E, WORD_LEN, 0 },         // P_G2_P3Q0
2045 {0x3720, 0x1D32, WORD_LEN, 0 },         // P_G2_P3Q1
2046 {0x3722, 0xCC31, WORD_LEN, 0 },         // P_G2_P3Q2
2047 {0x3724, 0xAE94, WORD_LEN, 0 },         // P_G2_P3Q3
2048 {0x3726, 0x6413, WORD_LEN, 0 },         // P_G2_P3Q4
2049 {0x375E, 0xE290, WORD_LEN, 0 },         // P_G2_P4Q0
2050 {0x3760, 0x8F53, WORD_LEN, 0 },         // P_G2_P4Q1
2051 {0x3762, 0xF936, WORD_LEN, 0 },         // P_G2_P4Q2
2052 {0x3764, 0x4614, WORD_LEN, 0 },         // P_G2_P4Q3
2053 {0x3766, 0x1B59, WORD_LEN, 0 },         // P_G2_P4Q4
2054 */
2055
2056 {0x3784, 0x0404, WORD_LEN, 0 },         // CENTER_COLUMN
2057 {0x3782, 0x0304, WORD_LEN, 0 },         // CENTER_ROW
2058 {0x3210, 0x04B8, WORD_LEN, 0 },         // COLOR_PIPELINE_CONTROL
2059 {0x098E, 0xC913, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_STAT_BRIGHTNESS_METRIC_PREDIVIDER]
2060 {0x0990, 0x000A, WORD_LEN, 0 },         // MCU_DATA_0
2061 {0x098E, 0x686B, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_START_BRIGHTNESS]
2062 {0x0990, 0x05DC, WORD_LEN, 0 },         // MCU_DATA_0
2063 {0x098E, 0x686D, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_STOP_BRIGHTNESS]
2064 {0x0990, 0x0BB8, WORD_LEN, 0 },         // MCU_DATA_0
2065 {0x098E, 0x6C6B, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_LL_START_BRIGHTNESS]
2066 {0x0990, 0x05DC, WORD_LEN, 0 },         // MCU_DATA_0
2067 {0x098E, 0x6C6D, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_LL_STOP_BRIGHTNESS]
2068 {0x0990, 0x0BB8, WORD_LEN, 0 },         // MCU_DATA_0
2069 {0x098E, 0x3439, WORD_LEN, 0 },         // MCU_ADDRESS [AS_ASSTART_BRIGHTNESS]
2070 {0x0990, 0x05DC, WORD_LEN, 0 },         // MCU_DATA_0
2071 {0x098E, 0x343B, WORD_LEN, 0 },         // MCU_ADDRESS [AS_ASSTOP_BRIGHTNESS]
2072 {0x0990, 0x0BB8, WORD_LEN, 0 },         // MCU_DATA_0
2073 {0x098E, 0x4926, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_START_GAMMA_BM]
2074 {0x0990, 0x0001, WORD_LEN, 0 },         // MCU_DATA_0
2075 {0x098E, 0x4928, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_MID_GAMMA_BM]
2076 {0x0990, 0x0002, WORD_LEN, 0 },         // MCU_DATA_0
2077 {0x098E, 0x492A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_STOP_GAMMA_BM]
2078 {0x0990, 0x0656, WORD_LEN, 0 },         // MCU_DATA_0
2079 {0x098E, 0x4D26, WORD_LEN, 0 },         // MCU_ADDRESS [CAM2_LL_START_GAMMA_BM]
2080 {0x0990, 0x0001, WORD_LEN, 0 },         // MCU_DATA_0
2081 {0x098E, 0x4D28, WORD_LEN, 0 },         // MCU_ADDRESS [CAM2_LL_MID_GAMMA_BM]
2082 {0x0990, 0x0002, WORD_LEN, 0 },         // MCU_DATA_0
2083 {0x098E, 0x4D2A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM2_LL_STOP_GAMMA_BM]
2084 {0x0990, 0x0656, WORD_LEN, 0 },         // MCU_DATA_0
2085 {0x33F4, 0x040B, WORD_LEN, 0 },         // KERNEL_CONFIG
2086 {0x098E, 0xC916, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_START_0]
2087 {0x0990, 0x0014, WORD_LEN, 0 },         // MCU_DATA_0
2088 {0x098E, 0xC919, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_STOP_0]
2089 {0x0990, 0x0028, WORD_LEN, 0 },         // MCU_DATA_0
2090 {0x098E, 0xC917, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_START_1]
2091 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
2092 {0x098E, 0xC918, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_START_2]
2093 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2094 {0x098E, 0xC91A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_STOP_1]
2095 {0x0990, 0x0001, WORD_LEN, 0 },         // MCU_DATA_0
2096 {0x098E, 0xC91B, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_STOP_2]
2097 {0x0990, 0x0009, WORD_LEN, 0 },         // MCU_DATA_0
2098 {0x326C, 0x0C00, WORD_LEN, 0 },         // APERTURE_PARAMETERS_2D
2099 {0x098E, 0x494B, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_START_GAIN_METRIC]
2100 {0x0990, 0x0042, WORD_LEN, 0 },         // MCU_DATA_0
2101 {0x098E, 0x494D, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_STOP_GAIN_METRIC]
2102 {0x0990, 0x012C, WORD_LEN, 0 },         // MCU_DATA_0
2103 {0x098E, 0xC91E, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_START_0]
2104 {0x0990, 0x0012, WORD_LEN, 0 },         // MCU_DATA_0
2105 {0x098E, 0xC91F, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_START_1]
2106 {0x0990, 0x000A, WORD_LEN, 0 },         // MCU_DATA_0
2107 {0x098E, 0xC920, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_START_2]
2108 {0x0990, 0x0012, WORD_LEN, 0 },         // MCU_DATA_0
2109 {0x098E, 0xC921, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_START_3]
2110 {0x0990, 0x000A, WORD_LEN, 0 },         // MCU_DATA_0
2111 {0x098E, 0xC922, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_STOP_0]
2112 {0x0990, 0x0026, WORD_LEN, 0 },         // MCU_DATA_0
2113 {0x098E, 0xC923, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_STOP_1]
2114 {0x0990, 0x001E, WORD_LEN, 0 },         // MCU_DATA_0
2115 {0x098E, 0xC924, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_STOP_2]
2116 {0x0990, 0x0026, WORD_LEN, 0 },         // MCU_DATA_0
2117 {0x098E, 0xC925, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_STOP_3]
2118 {0x0990, 0x0026, WORD_LEN, 0 },         // MCU_DATA_0
2119 {0x098E, 0xBC02, WORD_LEN, 0 },         // MCU_ADDRESS [LL_MODE]
2120 {0x0990, 0x0003, WORD_LEN, 0 },         // MCU_DATA_0
2121 {0x098E, 0xBC05, WORD_LEN, 0 },         // MCU_ADDRESS [LL_CLUSTER_DC_TH]
2122 {0x0990, 0x000E, WORD_LEN, 0 },         // MCU_DATA_0
2123 {0x316C, 0x350F, WORD_LEN, 0 },         // DAC_TXLO
2124 {0x098E, 0xC950, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_1]
2125 {0x0990, 0x0064, WORD_LEN, 0 },         // MCU_DATA_0
2126 {0x098E, 0xC94F, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_0]
2127 {0x0990, 0x0038, WORD_LEN, 0 },         // MCU_DATA_0
2128 {0x098E, 0xC952, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_3]
2129 {0x0990, 0x0064, WORD_LEN, 0 },         // MCU_DATA_0
2130 {0x098E, 0xC951, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_2]
2131 {0x0990, 0x0051, WORD_LEN, 0 },         // MCU_DATA_0
2132 {0x098E, 0xC954, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_5]
2133 {0x0990, 0x0010, WORD_LEN, 0 },         // MCU_DATA_0
2134 {0x098E, 0xC953, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_4]
2135 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2136 {0x098E, 0xC956, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_7]
2137 {0x0990, 0x0010, WORD_LEN, 0 },         // MCU_DATA_0
2138 {0x098E, 0xC955, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_6]
2139 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2140 {0x098E, 0xC958, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_1]
2141 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2142 {0x098E, 0xC957, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_0]
2143 {0x0990, 0x0014, WORD_LEN, 0 },         // MCU_DATA_0
2144 {0x098E, 0xC95A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_3]
2145 {0x0990, 0x001D, WORD_LEN, 0 },         // MCU_DATA_0
2146 {0x098E, 0xC959, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_2]
2147 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2148 {0x098E, 0xC95C, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_5]
2149 {0x0990, 0x000C, WORD_LEN, 0 },         // MCU_DATA_0
2150 {0x098E, 0xC95B, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_4]
2151 {0x0990, 0x0008, WORD_LEN, 0 },         // MCU_DATA_0
2152 {0x098E, 0xC95E, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_7]
2153 {0x0990, 0x000C, WORD_LEN, 0 },         // MCU_DATA_0
2154 {0x098E, 0xC95D, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_6]
2155 {0x0990, 0x0008, WORD_LEN, 0 },         // MCU_DATA_0
2156 {0x098E, 0xC95F, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_WINDOW_PERCENT]
2157 {0x0990, 0x0064, WORD_LEN, 0 },         // MCU_DATA_0
2158 {0x098E, 0x48DC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_0]
2159 {0x0990, 0x004D, WORD_LEN, 0 },         // MCU_DATA_0
2160 {0x098E, 0x48DE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_1]
2161 {0x0990, 0x0096, WORD_LEN, 0 },         // MCU_DATA_0
2162 {0x098E, 0x48E0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_2]
2163 {0x0990, 0x001D, WORD_LEN, 0 },         // MCU_DATA_0
2164 {0x098E, 0x48E2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_3]
2165 {0x0990, 0x004D, WORD_LEN, 0 },         // MCU_DATA_0
2166 {0x098E, 0x48E4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_4]
2167 {0x0990, 0x0096, WORD_LEN, 0 },         // MCU_DATA_0
2168 {0x098E, 0x48E6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_5]
2169 {0x0990, 0x001D, WORD_LEN, 0 },         // MCU_DATA_0
2170 {0x098E, 0x48E8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_6]
2171 {0x0990, 0x004D, WORD_LEN, 0 },         // MCU_DATA_0
2172 {0x098E, 0x48EA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_7]
2173 {0x0990, 0x0096, WORD_LEN, 0 },         // MCU_DATA_0
2174 {0x098E, 0x48EC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_8]
2175 {0x0990, 0x001D, WORD_LEN, 0 },         // MCU_DATA_0
2176 {0x098E, 0xDC2A, WORD_LEN, 0 },         // MCU_ADDRESS [SYS_DELTA_GAIN]
2177 {0x0990, 0x000B, WORD_LEN, 0 },         // MCU_DATA_0
2178 {0x098E, 0xDC2B, WORD_LEN, 0 },         // MCU_ADDRESS [SYS_DELTA_THRESH]
2179 {0x0990, 0x0017, WORD_LEN, 0 },         // MCU_DATA_0
2180 {0x098E, 0xBC0B, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_0]
2181 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2182 {0x098E, 0xBC0C, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_1]
2183 {0x0990, 0x001B, WORD_LEN, 0 },         // MCU_DATA_0
2184 {0x098E, 0xBC0D, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_2]
2185 {0x0990, 0x002A, WORD_LEN, 0 },         // MCU_DATA_0
2186 {0x098E, 0xBC0E, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_3]
2187 {0x0990, 0x003E, WORD_LEN, 0 },         // MCU_DATA_0
2188 {0x098E, 0xBC0F, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_4]
2189 {0x0990, 0x005A, WORD_LEN, 0 },         // MCU_DATA_0
2190 {0x098E, 0xBC10, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_5]
2191 {0x0990, 0x0070, WORD_LEN, 0 },         // MCU_DATA_0
2192 {0x098E, 0xBC11, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_6]
2193 {0x0990, 0x0081, WORD_LEN, 0 },         // MCU_DATA_0
2194 {0x098E, 0xBC12, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_7]
2195 {0x0990, 0x0090, WORD_LEN, 0 },         // MCU_DATA_0
2196 {0x098E, 0xBC13, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_8]
2197 {0x0990, 0x009E, WORD_LEN, 0 },         // MCU_DATA_0
2198 {0x098E, 0xBC14, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_9]
2199 {0x0990, 0x00AB, WORD_LEN, 0 },         // MCU_DATA_0
2200 {0x098E, 0xBC15, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_10]
2201 {0x0990, 0x00B6, WORD_LEN, 0 },         // MCU_DATA_0
2202 {0x098E, 0xBC16, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_11]
2203 {0x0990, 0x00C1, WORD_LEN, 0 },         // MCU_DATA_0
2204 {0x098E, 0xBC17, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_12]
2205 {0x0990, 0x00CB, WORD_LEN, 0 },         // MCU_DATA_0
2206 {0x098E, 0xBC18, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_13]
2207 {0x0990, 0x00D5, WORD_LEN, 0 },         // MCU_DATA_0
2208 {0x098E, 0xBC19, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_14]
2209 {0x0990, 0x00DE, WORD_LEN, 0 },         // MCU_DATA_0
2210 {0x098E, 0xBC1A, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_15]
2211 {0x0990, 0x00E7, WORD_LEN, 0 },         // MCU_DATA_0
2212 {0x098E, 0xBC1B, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_16]
2213 {0x0990, 0x00EF, WORD_LEN, 0 },         // MCU_DATA_0
2214 {0x098E, 0xBC1C, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_17]
2215 {0x0990, 0x00F7, WORD_LEN, 0 },         // MCU_DATA_0
2216 {0x098E, 0xBC1D, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_18]
2217 {0x0990, 0x00FF, WORD_LEN, 0 },         // MCU_DATA_0
2218 {0x098E, 0xBC1E, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_0]
2219 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2220 {0x098E, 0xBC1F, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_1]
2221 {0x0990, 0x001B, WORD_LEN, 0 },         // MCU_DATA_0
2222 {0x098E, 0xBC20, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_2]
2223 {0x0990, 0x002A, WORD_LEN, 0 },         // MCU_DATA_0
2224 {0x098E, 0xBC21, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_3]
2225 {0x0990, 0x003E, WORD_LEN, 0 },         // MCU_DATA_0
2226 {0x098E, 0xBC22, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_4]
2227 {0x0990, 0x005A, WORD_LEN, 0 },         // MCU_DATA_0
2228 {0x098E, 0xBC23, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_5]
2229 {0x0990, 0x0070, WORD_LEN, 0 },         // MCU_DATA_0
2230 {0x098E, 0xBC24, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_6]
2231 {0x0990, 0x0081, WORD_LEN, 0 },         // MCU_DATA_0
2232 {0x098E, 0xBC25, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_7]
2233 {0x0990, 0x0090, WORD_LEN, 0 },         // MCU_DATA_0
2234 {0x098E, 0xBC26, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_8]
2235 {0x0990, 0x009E, WORD_LEN, 0 },         // MCU_DATA_0
2236 {0x098E, 0xBC27, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_9]
2237 {0x0990, 0x00AB, WORD_LEN, 0 },         // MCU_DATA_0
2238 {0x098E, 0xBC28, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_10]
2239 {0x0990, 0x00B6, WORD_LEN, 0 },         // MCU_DATA_0
2240 {0x098E, 0xBC29, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_11]
2241 {0x0990, 0x00C1, WORD_LEN, 0 },         // MCU_DATA_0
2242 {0x098E, 0xBC2A, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_12]
2243 {0x0990, 0x00CB, WORD_LEN, 0 },         // MCU_DATA_0
2244 {0x098E, 0xBC2B, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_13]
2245 {0x0990, 0x00D5, WORD_LEN, 0 },         // MCU_DATA_0
2246 {0x098E, 0xBC2C, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_14]
2247 {0x0990, 0x00DE, WORD_LEN, 0 },         // MCU_DATA_0
2248 {0x098E, 0xBC2D, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_15]
2249 {0x0990, 0x00E7, WORD_LEN, 0 },         // MCU_DATA_0
2250 {0x098E, 0xBC2E, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_16]
2251 {0x0990, 0x00EF, WORD_LEN, 0 },         // MCU_DATA_0
2252 {0x098E, 0xBC2F, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_17]
2253 {0x0990, 0x00F7, WORD_LEN, 0 },         // MCU_DATA_0
2254 {0x098E, 0xBC30, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_18]
2255 {0x0990, 0x00FF, WORD_LEN, 0 },         // MCU_DATA_0
2256 {0x098E, 0xBC31, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_0]
2257 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2258 {0x098E, 0xBC32, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_1]
2259 {0x0990, 0x000D, WORD_LEN, 0 },         // MCU_DATA_0
2260 {0x098E, 0xBC33, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_2]
2261 {0x0990, 0x0019, WORD_LEN, 0 },         // MCU_DATA_0
2262 {0x098E, 0xBC34, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_3]
2263 {0x0990, 0x0030, WORD_LEN, 0 },         // MCU_DATA_0
2264 {0x098E, 0xBC35, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_4]
2265 {0x0990, 0x0056, WORD_LEN, 0 },         // MCU_DATA_0
2266 {0x098E, 0xBC36, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_5]
2267 {0x0990, 0x0070, WORD_LEN, 0 },         // MCU_DATA_0
2268 {0x098E, 0xBC37, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_6]
2269 {0x0990, 0x0081, WORD_LEN, 0 },         // MCU_DATA_0
2270 {0x098E, 0xBC38, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_7]
2271 {0x0990, 0x0090, WORD_LEN, 0 },         // MCU_DATA_0
2272 {0x098E, 0xBC39, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_8]
2273 {0x0990, 0x009E, WORD_LEN, 0 },         // MCU_DATA_0
2274 {0x098E, 0xBC3A, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_9]
2275 {0x0990, 0x00AB, WORD_LEN, 0 },         // MCU_DATA_0
2276 {0x098E, 0xBC3B, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_10]
2277 {0x0990, 0x00B6, WORD_LEN, 0 },         // MCU_DATA_0
2278 {0x098E, 0xBC3C, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_11]
2279 {0x0990, 0x00C1, WORD_LEN, 0 },         // MCU_DATA_0
2280 {0x098E, 0xBC3D, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_12]
2281 {0x0990, 0x00CB, WORD_LEN, 0 },         // MCU_DATA_0
2282 {0x098E, 0xBC3E, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_13]
2283 {0x0990, 0x00D5, WORD_LEN, 0 },         // MCU_DATA_0
2284 {0x098E, 0xBC3F, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_14]
2285 {0x0990, 0x00DE, WORD_LEN, 0 },         // MCU_DATA_0
2286 {0x098E, 0xBC40, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_15]
2287 {0x0990, 0x00E7, WORD_LEN, 0 },         // MCU_DATA_0
2288 {0x098E, 0xBC41, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_16]
2289 {0x0990, 0x00EF, WORD_LEN, 0 },         // MCU_DATA_0
2290 {0x098E, 0xBC42, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_17]
2291 {0x0990, 0x00F7, WORD_LEN, 0 },         // MCU_DATA_0
2292 {0x098E, 0xBC43, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_18]
2293 {0x0990, 0x00FF, WORD_LEN, 0 },         // MCU_DATA_0
2294 {0x098E, 0x6865, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_ENTER]
2295 {0x0990, 0x00E0, WORD_LEN, 0 },         // MCU_DATA_0
2296 {0x098E, 0x6867, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_RUN]
2297 {0x0990, 0x00F4, WORD_LEN, 0 },         // MCU_DATA_0
2298 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS [SEQ_CMD]
2299 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
2300 {0x098E, 0xBC4A, WORD_LEN, 0 },         // MCU_ADDRESS [LL_TONAL_CURVE_HIGH]
2301 {0x0990, 0x007F, WORD_LEN, 0 },         // MCU_DATA_0
2302 {0x098E, 0xBC4B, WORD_LEN, 0 },         // MCU_ADDRESS [LL_TONAL_CURVE_MED]
2303 {0x0990, 0x007F, WORD_LEN, 0 },         // MCU_DATA_0
2304 {0x098E, 0xBC4C, WORD_LEN, 0 },         // MCU_ADDRESS [LL_TONAL_CURVE_LOW]
2305 {0x0990, 0x007F, WORD_LEN, 0 },         // MCU_DATA_0
2306 {0x3542, 0x0010, WORD_LEN, 0 },         // TONAL_X0
2307 {0x3544, 0x0030, WORD_LEN, 0 },         // TONAL_X1
2308 {0x3546, 0x0040, WORD_LEN, 0 },         // TONAL_X2
2309 {0x3548, 0x0080, WORD_LEN, 0 },         // TONAL_X3
2310 {0x354A, 0x0100, WORD_LEN, 0 },         // TONAL_X4
2311 {0x354C, 0x0200, WORD_LEN, 0 },         // TONAL_X5
2312 {0x354E, 0x0300, WORD_LEN, 0 },         // TONAL_X6
2313 {0x3550, 0x0010, WORD_LEN, 0 },         // TONAL_Y0
2314 {0x3552, 0x0030, WORD_LEN, 0 },         // TONAL_Y1
2315 {0x3554, 0x0040, WORD_LEN, 0 },         // TONAL_Y2
2316 {0x3556, 0x0080, WORD_LEN, 0 },         // TONAL_Y3
2317 {0x3558, 0x012C, WORD_LEN, 0 },         // TONAL_Y4
2318 {0x355A, 0x0320, WORD_LEN, 0 },         // TONAL_Y5
2319 {0x355C, 0x03E8, WORD_LEN, 0 },         // TONAL_Y6
2320 {0x3560, 0x0040, WORD_LEN, 0 },         // RECIPROCAL_OF_X0_MINUS_ZERO
2321 {0x3562, 0x0020, WORD_LEN, 0 },         // RECIPROCAL_OF_X1_MINUS_X0
2322 {0x3564, 0x0040, WORD_LEN, 0 },         // RECIPROCAL_OF_X2_MINUS_X1
2323 {0x3566, 0x0010, WORD_LEN, 0 },         // RECIPROCAL_OF_X3_MINUS_X2
2324 {0x3568, 0x0008, WORD_LEN, 0 },         // RECIPROCAL_OF_X4_MINUS_X3
2325 {0x356A, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_X5_MINUS_X4
2326 {0x356C, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_X6_MINUS_X5
2327 {0x356E, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_400_MINUS_X6
2328 {0x098E, 0x3C4D, WORD_LEN, 0 },         // MCU_ADDRESS [LL_START_GAMMA_FTB]
2329 {0x0990, 0x0DAC, WORD_LEN, 0 },         // MCU_DATA_0
2330 {0x098E, 0x3C4F, WORD_LEN, 0 },         // MCU_ADDRESS [LL_STOP_GAMMA_FTB]
2331 {0x0990, 0x148A, WORD_LEN, 0 },         // MCU_DATA_0
2332 {0x098E, 0xC911, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_STAT_LUMA_THRESH_HIGH]
2333 {0x0990, 0x00C8, WORD_LEN, 0 },         // MCU_DATA_0
2334 {0x098E, 0xC8F4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_XSCALE]
2335 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
2336 {0x098E, 0xC8F5, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_YSCALE]
2337 {0x0990, 0x0002, WORD_LEN, 0 },         // MCU_DATA_0
2338 {0x098E, 0x48F6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_0]
2339 {0x0990, 0x3B4D, WORD_LEN, 0 },         // MCU_DATA_0
2340 {0x098E, 0x48F8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_1]
2341 {0x0990, 0x6380, WORD_LEN, 0 },         // MCU_DATA_0
2342 {0x098E, 0x48FA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_2]
2343 {0x0990, 0x9B18, WORD_LEN, 0 },         // MCU_DATA_0
2344 {0x098E, 0x48FC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_3]
2345 {0x0990, 0x5D51, WORD_LEN, 0 },         // MCU_DATA_0
2346 {0x098E, 0x48FE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_4]
2347 {0x0990, 0xEDE8, WORD_LEN, 0 },         // MCU_DATA_0
2348 {0x098E, 0x4900, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_5]
2349 {0x0990, 0xE515, WORD_LEN, 0 },         // MCU_DATA_0
2350 {0x098E, 0x4902, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_6]
2351 {0x0990, 0xBFF4, WORD_LEN, 0 },         // MCU_DATA_0
2352 {0x098E, 0x4904, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_7]
2353 {0x0990, 0x001E, WORD_LEN, 0 },         // MCU_DATA_0
2354 {0x098E, 0x4906, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_XSHIFT_PRE_ADJ]
2355 {0x0990, 0x0026, WORD_LEN, 0 },         // MCU_DATA_0
2356 {0x098E, 0x4908, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_YSHIFT_PRE_ADJ]
2357 {0x0990, 0x0033, WORD_LEN, 0 },         // MCU_DATA_0
2358 {0x098E, 0xE84A, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_L]
2359 {0x0990, 0x0083, WORD_LEN, 0 },         // MCU_DATA_0
2360 {0x098E, 0xE84D, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_R]
2361 {0x0990, 0x0083, WORD_LEN, 0 },         // MCU_DATA_0
2362 {0x098E, 0xE84C, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_L]
2363 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
2364 {0x098E, 0xE84F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_R]
2365 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
2366 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS [SEQ_CMD]
2367 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
2368 {0x098E, 0x48B0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
2369 {0x0990, 0x0180, WORD_LEN, 0 },         // MCU_DATA_0
2370 {0x098E, 0x48B2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
2371 {0x0990, 0xFF7A, WORD_LEN, 0 },         // MCU_DATA_0
2372 {0x098E, 0x48B4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
2373 {0x0990, 0x0018, WORD_LEN, 0 },         // MCU_DATA_0
2374 {0x098E, 0x48B6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
2375 {0x0990, 0xFFCA, WORD_LEN, 0 },         // MCU_DATA_0
2376 {0x098E, 0x48B8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
2377 {0x0990, 0x017C, WORD_LEN, 0 },         // MCU_DATA_0
2378 {0x098E, 0x48BA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
2379 {0x0990, 0xFFCC, WORD_LEN, 0 },         // MCU_DATA_0
2380 {0x098E, 0x48BC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
2381 {0x0990, 0x000C, WORD_LEN, 0 },         // MCU_DATA_0
2382 {0x098E, 0x48BE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
2383 {0x0990, 0xFF1F, WORD_LEN, 0 },         // MCU_DATA_0
2384 {0x098E, 0x48C0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
2385 {0x0990, 0x01E8, WORD_LEN, 0 },         // MCU_DATA_0
2386 {0x098E, 0x48C2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_9]
2387 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2388 {0x098E, 0x48C4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_10]
2389 {0x0990, 0x0044, WORD_LEN, 0 },         // MCU_DATA_0
2390 {0x098E, 0x48C6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
2391 {0x0990, 0x0079, WORD_LEN, 0 },         // MCU_DATA_0
2392 {0x098E, 0x48C8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
2393 {0x0990, 0xFFAD, WORD_LEN, 0 },         // MCU_DATA_0
2394 {0x098E, 0x48CA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
2395 {0x0990, 0xFFE2, WORD_LEN, 0 },         // MCU_DATA_0
2396 {0x098E, 0x48CC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
2397 {0x0990, 0x0033, WORD_LEN, 0 },         // MCU_DATA_0
2398 {0x098E, 0x48CE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
2399 {0x0990, 0x002A, WORD_LEN, 0 },         // MCU_DATA_0
2400 {0x098E, 0x48D0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
2401 {0x0990, 0xFFAA, WORD_LEN, 0 },         // MCU_DATA_0
2402 {0x098E, 0x48D2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
2403 {0x0990, 0x0017, WORD_LEN, 0 },         // MCU_DATA_0
2404 {0x098E, 0x48D4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
2405 {0x0990, 0x004B, WORD_LEN, 0 },         // MCU_DATA_0
2406 {0x098E, 0x48D6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
2407 {0x0990, 0xFFA5, WORD_LEN, 0 },         // MCU_DATA_0
2408 {0x098E, 0x48D8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_9]
2409 {0x0990, 0x0015, WORD_LEN, 0 },         // MCU_DATA_0
2410 {0x098E, 0x48DA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_10]
2411 {0x0990, 0xFFE2, WORD_LEN, 0 },         // MCU_DATA_0
2412 {0x35A2, 0x0014, WORD_LEN, 0 },         // DARK_COLOR_KILL_CONTROLS
2413 {0x098E, 0xC949, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_SYS_DARK_COLOR_KILL]
2414 {0x0990, 0x0024, WORD_LEN, 0 },         // MCU_DATA_0
2415 {0x35A4, 0x0596, WORD_LEN, 0 },         // BRIGHT_COLOR_KILL_CONTROLS
2416 {0x098E, 0xC94A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_SYS_BRIGHT_COLORKILL]
2417 {0x0990, 0x0062, WORD_LEN, 0 },         // MCU_DATA_0
2418 {0x098E, 0xC948, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_SYS_UV_COLOR_BOOST]
2419 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
2420 {0x098E, 0xC914, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_START_DESATURATION]
2421 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2422 {0x098E, 0xC915, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_END_DESATURATION]
2423 {0x0990, 0x00FF, WORD_LEN, 0 },         // MCU_DATA_0
2424 {0x098E, 0xE86F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_START_SATURATION]
2425 {0x0990, 0x0060, WORD_LEN, 0 },         // MCU_DATA_0
2426 {0x098E, 0xE870, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_END_SATURATION]
2427 {0x0990, 0x003C, WORD_LEN, 0 },         // MCU_DATA_0
2428 {0x098E, 0xEC6F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_LL_START_SATURATION]
2429 {0x0990, 0x0060, WORD_LEN, 0 },         // MCU_DATA_0
2430 {0x098E, 0xEC70, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_LL_END_SATURATION]
2431 {0x0990, 0x003C, WORD_LEN, 0 },         // MCU_DATA_0
2432 {0x098E, 0xE883, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
2433 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2434 {0x098E, 0xEC83, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
2435 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2436 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS [SEQ_CMD]
2437 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
2438 {0x098E, 0xE885, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
2439 {0x0990, 0x001E, WORD_LEN, 0 },         // MCU_DATA_0
2440 {0x098E, 0xE886, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
2441 {0x0990, 0x00D8, WORD_LEN, 0 },         // MCU_DATA_0
2442 {0x098E, 0xEC85, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
2443 {0x0990, 0x001E, WORD_LEN, 0 },         // MCU_DATA_0
2444 {0x098E, 0xEC86, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
2445 {0x0990, 0x00D8, WORD_LEN, 0 },         // MCU_DATA_0
2446 {0x098E, 0xE884, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SOLARIZATION_TH]
2447 {0x0990, 0x005C, WORD_LEN, 0 },         // MCU_DATA_0
2448 {0x098E, 0xEC84, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SOLARIZATION_TH]
2449 {0x0990, 0x005C, WORD_LEN, 0 },         // MCU_DATA_0
2450 {0x098E, 0x490A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AS_INTEG_SCALE_FIRST_PASS]
2451 {0x0990, 0x0666, WORD_LEN, 0 },         // MCU_DATA_0
2452 {0x098E, 0x490C, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AS_MIN_INT_TIME_FIRST_PASS]
2453 {0x0990, 0x0140, WORD_LEN, 0 },         // MCU_DATA_0
2454 {0x098E, 0x6857, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_IS_FEATURE_THRESHOLD]
2455 {0x0990, 0x0014, WORD_LEN, 0 },         // MCU_DATA_0
2456 {0x098E, 0x685C, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_IS_BLUR_INPUT_PARAMETER]
2457 {0x0990, 0x0005, WORD_LEN, 0 },         // MCU_DATA_0
2458 {0x098E, 0x490E, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AS_MAX_DIGITAL_GAIN_ALLOWED]
2459 {0x0990, 0x00A4, WORD_LEN, 0 },         // MCU_DATA_0
2460 {0x098E, 0xB43D, WORD_LEN, 0 },         // MCU_ADDRESS [AS_START_ASVALUES_0]
2461 {0x0990, 0x0031, WORD_LEN, 0 },         // MCU_DATA_0
2462 {0x098E, 0xB43E, WORD_LEN, 0 },         // MCU_ADDRESS [AS_START_ASVALUES_1]
2463 {0x0990, 0x001B, WORD_LEN, 0 },         // MCU_DATA_0
2464 {0x098E, 0xB43F, WORD_LEN, 0 },         // MCU_ADDRESS [AS_START_ASVALUES_2]
2465 {0x0990, 0x0028, WORD_LEN, 0 },         // MCU_DATA_0
2466 {0x098E, 0xB440, WORD_LEN, 0 },         // MCU_ADDRESS [AS_START_ASVALUES_3]
2467 {0x0990, 0x0003, WORD_LEN, 0 },         // MCU_DATA_0
2468 {0x098E, 0xB441, WORD_LEN, 0 },         // MCU_ADDRESS [AS_STOP_ASVALUES_0]
2469 {0x0990, 0x00CD, WORD_LEN, 0 },         // MCU_DATA_0
2470 {0x098E, 0xB442, WORD_LEN, 0 },         // MCU_ADDRESS [AS_STOP_ASVALUES_1]
2471 {0x0990, 0x0064, WORD_LEN, 0 },         // MCU_DATA_0
2472 {0x098E, 0xB443, WORD_LEN, 0 },         // MCU_ADDRESS [AS_STOP_ASVALUES_2]
2473 {0x0990, 0x000F, WORD_LEN, 0 },         // MCU_DATA_0
2474 {0x098E, 0xB444, WORD_LEN, 0 },         // MCU_ADDRESS [AS_STOP_ASVALUES_3]
2475 {0x0990, 0x0007, WORD_LEN, 0 },         // MCU_DATA_0
2476 {0x098E, 0x300D, WORD_LEN, 0 },         // MCU_ADDRESS [AF_FILTERS]
2477 {0x0990, 0x000F, WORD_LEN, 0 },         // MCU_DATA_0
2478 {0x098E, 0x3017, WORD_LEN, 0 },         // MCU_ADDRESS [AF_THRESHOLDS]
2479 {0x0990, 0x0F0F, WORD_LEN, 0 },         // MCU_DATA_0
2480 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS [SEQ_CMD]
2481 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
2482 {0x098E, 0xE81F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
2483 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2484 {0x098E, 0x68A0, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
2485 {0x0990, 0x082E, WORD_LEN, 0 },         // MCU_DATA_0
2486 {0x098E, 0x6CA0, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
2487 {0x0990, 0x082E, WORD_LEN, 0 },         // MCU_DATA_0
2488 {0x098E, 0x70A0, WORD_LEN, 0 },         // MCU_ADDRESS [SEC_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
2489 {0x0990, 0x082E, WORD_LEN, 0 },         // MCU_DATA_0
2490 {0x098E, 0x74A0, WORD_LEN, 0 },         // MCU_ADDRESS [SEC_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
2491 {0x0990, 0x082E, WORD_LEN, 0 },         // MCU_DATA_0
2492 {0x3C52, 0x002E, WORD_LEN, 0 },         // RESERVED_TX_SS_3C52//02e
2493 {0x098E, 0x488E, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_CTX_B_RX_FIFO_TRIGGER_MARK]
2494 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2495 {0x098E, 0xECAC, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_IO_OB_MANUAL_FLAG]
2496 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2497 {0x3084, 0x2409, WORD_LEN, 0 },         // RESERVED_CORE_3084
2498 {0x3092, 0x0A49, WORD_LEN, 0 },         // RESERVED_CORE_3092
2499 {0x3094, 0x4949, WORD_LEN, 0 },         // RESERVED_CORE_3094
2500 {0x3096, 0x4950, WORD_LEN, 0 },         // RESERVED_CORE_3096
2501
2502 {0x0982, 0x0000, WORD_LEN, 0 },         // ACCESS_CTL_STAT
2503 {0x098A, 0x0CFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2504 {0x0990, 0x3C3C, WORD_LEN, 0 }, 
2505 {0x0992, 0x3C3C, WORD_LEN, 0 }, 
2506 {0x0994, 0x3C3C, WORD_LEN, 0 }, 
2507 {0x0996, 0x5F4F, WORD_LEN, 0 }, 
2508 {0x0998, 0x30ED, WORD_LEN, 0 }, 
2509 {0x099A, 0x0AED, WORD_LEN, 0 }, 
2510 {0x099C, 0x08BD, WORD_LEN, 0 }, 
2511 {0x099E, 0x61D5, WORD_LEN, 0 }, 
2512 {0x098A, 0x0D0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2513 {0x0990, 0xCE04, WORD_LEN, 0 }, 
2514 {0x0992, 0xCD1F, WORD_LEN, 0 }, 
2515 {0x0994, 0x1702, WORD_LEN, 0 }, 
2516 {0x0996, 0x11CC, WORD_LEN, 0 }, 
2517 {0x0998, 0x332E, WORD_LEN, 0 }, 
2518 {0x099A, 0x30ED, WORD_LEN, 0 }, 
2519 {0x099C, 0x02CC, WORD_LEN, 0 }, 
2520 {0x099E, 0xFFFD, WORD_LEN, 0 }, 
2521 {0x098A, 0x0D1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2522 {0x0990, 0xED00, WORD_LEN, 0 }, 
2523 {0x0992, 0xCC00, WORD_LEN, 0 }, 
2524 {0x0994, 0x02BD, WORD_LEN, 0 }, 
2525 {0x0996, 0x706D, WORD_LEN, 0 }, 
2526 {0x0998, 0x18DE, WORD_LEN, 0 }, 
2527 {0x099A, 0x1F18, WORD_LEN, 0 }, 
2528 {0x099C, 0x1F8E, WORD_LEN, 0 }, 
2529 {0x099E, 0x0110, WORD_LEN, 0 }, 
2530 {0x098A, 0x0D2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2531 {0x0990, 0xCC3C, WORD_LEN, 0 }, 
2532 {0x0992, 0x5230, WORD_LEN, 0 }, 
2533 {0x0994, 0xED00, WORD_LEN, 0 }, 
2534 {0x0996, 0x18EC, WORD_LEN, 0 }, 
2535 {0x0998, 0xA0C4, WORD_LEN, 0 }, 
2536 {0x099A, 0xFDBD, WORD_LEN, 0 }, 
2537 {0x099C, 0x7021, WORD_LEN, 0 }, 
2538 {0x099E, 0x201E, WORD_LEN, 0 }, 
2539 {0x098A, 0x0D3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2540 {0x0990, 0xCC3C, WORD_LEN, 0 }, 
2541 {0x0992, 0x5230, WORD_LEN, 0 }, 
2542 {0x0994, 0xED00, WORD_LEN, 0 }, 
2543 {0x0996, 0xDE1F, WORD_LEN, 0 }, 
2544 {0x0998, 0xECA0, WORD_LEN, 0 }, 
2545 {0x099A, 0xBD70, WORD_LEN, 0 }, 
2546 {0x099C, 0x21CC, WORD_LEN, 0 }, 
2547 {0x099E, 0x3C52, WORD_LEN, 0 }, 
2548 {0x098A, 0x0D4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2549 {0x0990, 0x30ED, WORD_LEN, 0 }, 
2550 {0x0992, 0x02CC, WORD_LEN, 0 }, 
2551 {0x0994, 0xFFFC, WORD_LEN, 0 }, 
2552 {0x0996, 0xED00, WORD_LEN, 0 }, 
2553 {0x0998, 0xCC00, WORD_LEN, 0 }, 
2554 {0x099A, 0x02BD, WORD_LEN, 0 }, 
2555 {0x099C, 0x706D, WORD_LEN, 0 }, 
2556 {0x099E, 0xFC04, WORD_LEN, 0 }, 
2557 {0x098A, 0x0D5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2558 {0x0990, 0xE11A, WORD_LEN, 0 }, 
2559 {0x0992, 0x8300, WORD_LEN, 0 }, 
2560 {0x0994, 0x0127, WORD_LEN, 0 }, 
2561 {0x0996, 0x201A, WORD_LEN, 0 }, 
2562 {0x0998, 0x8300, WORD_LEN, 0 }, 
2563 {0x099A, 0x0427, WORD_LEN, 0 }, 
2564 {0x099C, 0x221A, WORD_LEN, 0 }, 
2565 {0x099E, 0x8300, WORD_LEN, 0 }, 
2566 {0x098A, 0x0D6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2567 {0x0990, 0x0827, WORD_LEN, 0 }, 
2568 {0x0992, 0x241A, WORD_LEN, 0 }, 
2569 {0x0994, 0x8300, WORD_LEN, 0 }, 
2570 {0x0996, 0x1027, WORD_LEN, 0 }, 
2571 {0x0998, 0x261A, WORD_LEN, 0 }, 
2572 {0x099A, 0x8300, WORD_LEN, 0 }, 
2573 {0x099C, 0x2027, WORD_LEN, 0 }, 
2574 {0x099E, 0x281A, WORD_LEN, 0 }, 
2575 {0x098A, 0x0D7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2576 {0x0990, 0x8300, WORD_LEN, 0 }, 
2577 {0x0992, 0x4027, WORD_LEN, 0 }, 
2578 {0x0994, 0x2A20, WORD_LEN, 0 }, 
2579 {0x0996, 0x2ECC, WORD_LEN, 0 }, 
2580 {0x0998, 0x001E, WORD_LEN, 0 }, 
2581 {0x099A, 0x30ED, WORD_LEN, 0 }, 
2582 {0x099C, 0x0A20, WORD_LEN, 0 }, 
2583 {0x099E, 0x26CC, WORD_LEN, 0 }, 
2584 {0x098A, 0x0D8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2585 {0x0990, 0x0022, WORD_LEN, 0 }, 
2586 {0x0992, 0x30ED, WORD_LEN, 0 }, 
2587 {0x0994, 0x0A20, WORD_LEN, 0 }, 
2588 {0x0996, 0x1ECC, WORD_LEN, 0 }, 
2589 {0x0998, 0x0021, WORD_LEN, 0 }, 
2590 {0x099A, 0x30ED, WORD_LEN, 0 }, 
2591 {0x099C, 0x0A20, WORD_LEN, 0 }, 
2592 {0x099E, 0x16CC, WORD_LEN, 0 }, 
2593 {0x098A, 0x0D9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2594 {0x0990, 0x0020, WORD_LEN, 0 }, 
2595 {0x0992, 0x30ED, WORD_LEN, 0 }, 
2596 {0x0994, 0x0A20, WORD_LEN, 0 }, 
2597 {0x0996, 0x0ECC, WORD_LEN, 0 }, 
2598 {0x0998, 0x002A, WORD_LEN, 0 }, 
2599 {0x099A, 0x30ED, WORD_LEN, 0 }, 
2600 {0x099C, 0x0A20, WORD_LEN, 0 }, 
2601 {0x099E, 0x06CC, WORD_LEN, 0 }, 
2602 {0x098A, 0x0DAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2603 {0x0990, 0x002B, WORD_LEN, 0 }, 
2604 {0x0992, 0x30ED, WORD_LEN, 0 }, 
2605 {0x0994, 0x0ACC, WORD_LEN, 0 }, 
2606 {0x0996, 0x3400, WORD_LEN, 0 }, 
2607 {0x0998, 0x30ED, WORD_LEN, 0 }, 
2608 {0x099A, 0x0034, WORD_LEN, 0 }, 
2609 {0x099C, 0xBD6F, WORD_LEN, 0 }, 
2610 {0x099E, 0xD184, WORD_LEN, 0 }, 
2611 {0x098A, 0x0DBB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2612 {0x0990, 0x0330, WORD_LEN, 0 }, 
2613 {0x0992, 0xED07, WORD_LEN, 0 }, 
2614 {0x0994, 0xA60C, WORD_LEN, 0 }, 
2615 {0x0996, 0x4848, WORD_LEN, 0 }, 
2616 {0x0998, 0x5FED, WORD_LEN, 0 }, 
2617 {0x099A, 0x05EC, WORD_LEN, 0 }, 
2618 {0x099C, 0x07EA, WORD_LEN, 0 }, 
2619 {0x099E, 0x06AA, WORD_LEN, 0 }, 
2620 {0x098A, 0x0DCB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2621 {0x0990, 0x0531, WORD_LEN, 0 }, 
2622 {0x0992, 0xBD70, WORD_LEN, 0 }, 
2623 {0x0994, 0x21DE, WORD_LEN, 0 }, 
2624 {0x0996, 0x1F1F, WORD_LEN, 0 }, 
2625 {0x0998, 0x8E01, WORD_LEN, 0 }, 
2626 {0x099A, 0x08EC, WORD_LEN, 0 }, 
2627 {0x099C, 0x9B05, WORD_LEN, 0 }, 
2628 {0x099E, 0x30ED, WORD_LEN, 0 }, 
2629 {0x098A, 0x0DDB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2630 {0x0990, 0x0820, WORD_LEN, 0 }, 
2631 {0x0992, 0x3BDE, WORD_LEN, 0 }, 
2632 {0x0994, 0x1FEC, WORD_LEN, 0 }, 
2633 {0x0996, 0x0783, WORD_LEN, 0 }, 
2634 {0x0998, 0x0040, WORD_LEN, 0 }, 
2635 {0x099A, 0x2628, WORD_LEN, 0 }, 
2636 {0x099C, 0x7F30, WORD_LEN, 0 }, 
2637 {0x099E, 0xC4CC, WORD_LEN, 0 }, 
2638 {0x098A, 0x0DEB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2639 {0x0990, 0x3C68, WORD_LEN, 0 }, 
2640 {0x0992, 0xBD6F, WORD_LEN, 0 }, 
2641 {0x0994, 0xD1FD, WORD_LEN, 0 }, 
2642 {0x0996, 0x30C5, WORD_LEN, 0 }, 
2643 {0x0998, 0xCC01, WORD_LEN, 0 }, 
2644 {0x099A, 0xF4FD, WORD_LEN, 0 }, 
2645 {0x099C, 0x30C7, WORD_LEN, 0 }, 
2646 {0x099E, 0xC640, WORD_LEN, 0 }, 
2647 {0x098A, 0x0DFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2648 {0x0990, 0xF730, WORD_LEN, 0 }, 
2649 {0x0992, 0xC4CC, WORD_LEN, 0 }, 
2650 {0x0994, 0x0190, WORD_LEN, 0 }, 
2651 {0x0996, 0xFD30, WORD_LEN, 0 }, 
2652 {0x0998, 0xC501, WORD_LEN, 0 }, 
2653 {0x099A, 0x0101, WORD_LEN, 0 }, 
2654 {0x099C, 0xFC30, WORD_LEN, 0 }, 
2655 {0x099E, 0xC230, WORD_LEN, 0 }, 
2656 {0x098A, 0x0E0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2657 {0x0990, 0xED08, WORD_LEN, 0 }, 
2658 {0x0992, 0x200A, WORD_LEN, 0 }, 
2659 {0x0994, 0xCC3C, WORD_LEN, 0 }, 
2660 {0x0996, 0x68BD, WORD_LEN, 0 }, 
2661 {0x0998, 0x6FD1, WORD_LEN, 0 }, 
2662 {0x099A, 0x0530, WORD_LEN, 0 }, 
2663 {0x099C, 0xED08, WORD_LEN, 0 }, 
2664 {0x099E, 0xCC34, WORD_LEN, 0 }, 
2665 {0x098A, 0x0E1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2666 {0x0990, 0x08ED, WORD_LEN, 0 }, 
2667 {0x0992, 0x00EC, WORD_LEN, 0 }, 
2668 {0x0994, 0x08BD, WORD_LEN, 0 }, 
2669 {0x0996, 0x7021, WORD_LEN, 0 }, 
2670 {0x0998, 0x30C6, WORD_LEN, 0 }, 
2671 {0x099A, 0x0C3A, WORD_LEN, 0 }, 
2672 {0x099C, 0x3539, WORD_LEN, 0 }, 
2673 {0x099E, 0x373C, WORD_LEN, 0 }, 
2674 {0x098A, 0x0E2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2675 {0x0990, 0x3C3C, WORD_LEN, 0 }, 
2676 {0x0992, 0x34DE, WORD_LEN, 0 }, 
2677 {0x0994, 0x2FEE, WORD_LEN, 0 }, 
2678 {0x0996, 0x0EAD, WORD_LEN, 0 }, 
2679 {0x0998, 0x007D, WORD_LEN, 0 }, 
2680 {0x099A, 0x13EF, WORD_LEN, 0 }, 
2681 {0x099C, 0x277C, WORD_LEN, 0 }, 
2682 {0x099E, 0xCE13, WORD_LEN, 0 }, 
2683 {0x098A, 0x0E3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2684 {0x0990, 0xE01E, WORD_LEN, 0 }, 
2685 {0x0992, 0x0510, WORD_LEN, 0 }, 
2686 {0x0994, 0x60E6, WORD_LEN, 0 }, 
2687 {0x0996, 0x0E4F, WORD_LEN, 0 }, 
2688 {0x0998, 0xC313, WORD_LEN, 0 }, 
2689 {0x099A, 0xF08F, WORD_LEN, 0 }, 
2690 {0x099C, 0xE600, WORD_LEN, 0 }, 
2691 {0x099E, 0x30E1, WORD_LEN, 0 }, 
2692 {0x098A, 0x0E4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2693 {0x0990, 0x0722, WORD_LEN, 0 }, 
2694 {0x0992, 0x16F6, WORD_LEN, 0 }, 
2695 {0x0994, 0x13EE, WORD_LEN, 0 }, 
2696 {0x0996, 0x4FC3, WORD_LEN, 0 }, 
2697 {0x0998, 0x13F3, WORD_LEN, 0 }, 
2698 {0x099A, 0x8FE6, WORD_LEN, 0 }, 
2699 {0x099C, 0x0030, WORD_LEN, 0 }, 
2700 {0x099E, 0xE107, WORD_LEN, 0 }, 
2701 {0x098A, 0x0E5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2702 {0x0990, 0x2507, WORD_LEN, 0 }, 
2703 {0x0992, 0xF613, WORD_LEN, 0 }, 
2704 {0x0994, 0xEEC1, WORD_LEN, 0 }, 
2705 {0x0996, 0x0325, WORD_LEN, 0 }, 
2706 {0x0998, 0x3C7F, WORD_LEN, 0 }, 
2707 {0x099A, 0x13EE, WORD_LEN, 0 }, 
2708 {0x099C, 0xF613, WORD_LEN, 0 }, 
2709 {0x099E, 0xEFE7, WORD_LEN, 0 }, 
2710 {0x098A, 0x0E6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2711 {0x0990, 0x06CC, WORD_LEN, 0 }, 
2712 {0x0992, 0x13F0, WORD_LEN, 0 }, 
2713 {0x0994, 0xED04, WORD_LEN, 0 }, 
2714 {0x0996, 0xCC13, WORD_LEN, 0 }, 
2715 {0x0998, 0xF320, WORD_LEN, 0 }, 
2716 {0x099A, 0x0F7C, WORD_LEN, 0 }, 
2717 {0x099C, 0x13EE, WORD_LEN, 0 }, 
2718 {0x099E, 0xEC04, WORD_LEN, 0 }, 
2719 {0x098A, 0x0E7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2720 {0x0990, 0xC300, WORD_LEN, 0 }, 
2721 {0x0992, 0x01ED, WORD_LEN, 0 }, 
2722 {0x0994, 0x04EC, WORD_LEN, 0 }, 
2723 {0x0996, 0x02C3, WORD_LEN, 0 }, 
2724 {0x0998, 0x0001, WORD_LEN, 0 }, 
2725 {0x099A, 0xED02, WORD_LEN, 0 }, 
2726 {0x099C, 0xF613, WORD_LEN, 0 }, 
2727 {0x099E, 0xEEE1, WORD_LEN, 0 }, 
2728 {0x098A, 0x0E8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2729 {0x0990, 0x0624, WORD_LEN, 0 }, 
2730 {0x0992, 0x12EE, WORD_LEN, 0 }, 
2731 {0x0994, 0x04E6, WORD_LEN, 0 }, 
2732 {0x0996, 0x0030, WORD_LEN, 0 }, 
2733 {0x0998, 0xE107, WORD_LEN, 0 }, 
2734 {0x099A, 0x22DF, WORD_LEN, 0 }, 
2735 {0x099C, 0xEE02, WORD_LEN, 0 }, 
2736 {0x099E, 0xE600, WORD_LEN, 0 }, 
2737 {0x098A, 0x0E9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2738 {0x0990, 0x30E1, WORD_LEN, 0 }, 
2739 {0x0992, 0x0725, WORD_LEN, 0 }, 
2740 {0x0994, 0xD6DE, WORD_LEN, 0 }, 
2741 {0x0996, 0x49EE, WORD_LEN, 0 }, 
2742 {0x0998, 0x08AD, WORD_LEN, 0 }, 
2743 {0x099A, 0x00CC, WORD_LEN, 0 }, 
2744 {0x099C, 0x13F6, WORD_LEN, 0 }, 
2745 {0x099E, 0x30ED, WORD_LEN, 0 }, 
2746 {0x098A, 0x0EAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2747 {0x0990, 0x00DE, WORD_LEN, 0 }, 
2748 {0x0992, 0x2FEE, WORD_LEN, 0 }, 
2749 {0x0994, 0x10CC, WORD_LEN, 0 }, 
2750 {0x0996, 0x13FA, WORD_LEN, 0 }, 
2751 {0x0998, 0xAD00, WORD_LEN, 0 }, 
2752 {0x099A, 0x3838, WORD_LEN, 0 }, 
2753 {0x099C, 0x3838, WORD_LEN, 0 }, 
2754 {0x099E, 0x3937, WORD_LEN, 0 }, 
2755 {0x098A, 0x0EBB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2756 {0x0990, 0x363C, WORD_LEN, 0 }, 
2757 {0x0992, 0x3C3C, WORD_LEN, 0 }, 
2758 {0x0994, 0x5F4F, WORD_LEN, 0 }, 
2759 {0x0996, 0x30ED, WORD_LEN, 0 }, 
2760 {0x0998, 0x04EC, WORD_LEN, 0 }, 
2761 {0x099A, 0x06ED, WORD_LEN, 0 }, 
2762 {0x099C, 0x008F, WORD_LEN, 0 }, 
2763 {0x099E, 0xC300, WORD_LEN, 0 }, 
2764 {0x098A, 0x0ECB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2765 {0x0990, 0x04BD, WORD_LEN, 0 }, 
2766 {0x0992, 0x0F43, WORD_LEN, 0 }, 
2767 {0x0994, 0x30EC, WORD_LEN, 0 }, 
2768 {0x0996, 0x04BD, WORD_LEN, 0 }, 
2769 {0x0998, 0x0F76, WORD_LEN, 0 }, 
2770 {0x099A, 0x30ED, WORD_LEN, 0 }, 
2771 {0x099C, 0x0238, WORD_LEN, 0 }, 
2772 {0x099E, 0x3838, WORD_LEN, 0 }, 
2773 {0x098A, 0x0EDB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2774 {0x0990, 0x3839, WORD_LEN, 0 }, 
2775 {0x0992, 0x373C, WORD_LEN, 0 }, 
2776 {0x0994, 0x3C3C, WORD_LEN, 0 }, 
2777 {0x0996, 0x3C30, WORD_LEN, 0 }, 
2778 {0x0998, 0xE608, WORD_LEN, 0 }, 
2779 {0x099A, 0x2712, WORD_LEN, 0 }, 
2780 {0x099C, 0xC101, WORD_LEN, 0 }, 
2781 {0x099E, 0x2713, WORD_LEN, 0 }, 
2782 {0x098A, 0x0EEB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2783 {0x0990, 0xC102, WORD_LEN, 0 }, 
2784 {0x0992, 0x2714, WORD_LEN, 0 }, 
2785 {0x0994, 0xC103, WORD_LEN, 0 }, 
2786 {0x0996, 0x2715, WORD_LEN, 0 }, 
2787 {0x0998, 0xC104, WORD_LEN, 0 }, 
2788 {0x099A, 0x2716, WORD_LEN, 0 }, 
2789 {0x099C, 0x2019, WORD_LEN, 0 }, 
2790 {0x099E, 0xCC30, WORD_LEN, 0 }, 
2791 {0x098A, 0x0EFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2792 {0x0990, 0x5E20, WORD_LEN, 0 }, 
2793 {0x0992, 0x12CC, WORD_LEN, 0 }, 
2794 {0x0994, 0x305A, WORD_LEN, 0 }, 
2795 {0x0996, 0x200D, WORD_LEN, 0 }, 
2796 {0x0998, 0xCC30, WORD_LEN, 0 }, 
2797 {0x099A, 0x5620, WORD_LEN, 0 }, 
2798 {0x099C, 0x08CC, WORD_LEN, 0 }, 
2799 {0x099E, 0x305C, WORD_LEN, 0 }, 
2800 {0x098A, 0x0F0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2801 {0x0990, 0x2003, WORD_LEN, 0 }, 
2802 {0x0992, 0xCC30, WORD_LEN, 0 }, 
2803 {0x0994, 0x58ED, WORD_LEN, 0 }, 
2804 {0x0996, 0x065F, WORD_LEN, 0 }, 
2805 {0x0998, 0x4FED, WORD_LEN, 0 }, 
2806 {0x099A, 0x04EC, WORD_LEN, 0 }, 
2807 {0x099C, 0x0BED, WORD_LEN, 0 }, 
2808 {0x099E, 0x008F, WORD_LEN, 0 }, 
2809 {0x098A, 0x0F1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2810 {0x0990, 0xC300, WORD_LEN, 0 }, 
2811 {0x0992, 0x04BD, WORD_LEN, 0 }, 
2812 {0x0994, 0x0F43, WORD_LEN, 0 }, 
2813 {0x0996, 0x30EC, WORD_LEN, 0 }, 
2814 {0x0998, 0x048A, WORD_LEN, 0 }, 
2815 {0x099A, 0x02ED, WORD_LEN, 0 }, 
2816 {0x099C, 0x02EC, WORD_LEN, 0 }, 
2817 {0x099E, 0x06ED, WORD_LEN, 0 }, 
2818 {0x098A, 0x0F2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2819 {0x0990, 0x008F, WORD_LEN, 0 }, 
2820 {0x0992, 0xC300, WORD_LEN, 0 }, 
2821 {0x0994, 0x02DE, WORD_LEN, 0 }, 
2822 {0x0996, 0x0EAD, WORD_LEN, 0 }, 
2823 {0x0998, 0x0030, WORD_LEN, 0 }, 
2824 {0x099A, 0xEC04, WORD_LEN, 0 }, 
2825 {0x099C, 0xBD0F, WORD_LEN, 0 }, 
2826 {0x099E, 0x7630, WORD_LEN, 0 }, 
2827 {0x098A, 0x0F3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2828 {0x0990, 0xED02, WORD_LEN, 0 }, 
2829 {0x0992, 0x3838, WORD_LEN, 0 }, 
2830 {0x0994, 0x3838, WORD_LEN, 0 }, 
2831 {0x0996, 0x3139, WORD_LEN, 0 }, 
2832 {0x0998, 0x3736, WORD_LEN, 0 }, 
2833 {0x099A, 0x30EC, WORD_LEN, 0 }, 
2834 {0x099C, 0x041A, WORD_LEN, 0 }, 
2835 {0x099E, 0x8300, WORD_LEN, 0 }, 
2836 {0x098A, 0x0F4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2837 {0x0990, 0x4025, WORD_LEN, 0 }, 
2838 {0x0992, 0x22EC, WORD_LEN, 0 }, 
2839 {0x0994, 0x041A, WORD_LEN, 0 }, 
2840 {0x0996, 0x8300, WORD_LEN, 0 }, 
2841 {0x0998, 0x8024, WORD_LEN, 0 }, 
2842 {0x099A, 0x0504, WORD_LEN, 0 }, 
2843 {0x099C, 0xCA40, WORD_LEN, 0 }, 
2844 {0x099E, 0x2015, WORD_LEN, 0 }, 
2845 {0x098A, 0x0F5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2846 {0x0990, 0xEC04, WORD_LEN, 0 }, 
2847 {0x0992, 0x1A83, WORD_LEN, 0 }, 
2848 {0x0994, 0x0100, WORD_LEN, 0 }, 
2849 {0x0996, 0x2406, WORD_LEN, 0 }, 
2850 {0x0998, 0x0404, WORD_LEN, 0 }, 
2851 {0x099A, 0xCA80, WORD_LEN, 0 }, 
2852 {0x099C, 0x2007, WORD_LEN, 0 }, 
2853 {0x099E, 0xEC04, WORD_LEN, 0 }, 
2854 {0x098A, 0x0F6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2855 {0x0990, 0x0404, WORD_LEN, 0 }, 
2856 {0x0992, 0x04CA, WORD_LEN, 0 }, 
2857 {0x0994, 0xC0EE, WORD_LEN, 0 }, 
2858 {0x0996, 0x00ED, WORD_LEN, 0 }, 
2859 {0x0998, 0x0038, WORD_LEN, 0 }, 
2860 {0x099A, 0x3937, WORD_LEN, 0 }, 
2861 {0x099C, 0x363C, WORD_LEN, 0 }, 
2862 {0x099E, 0x301F, WORD_LEN, 0 }, 
2863 {0x098A, 0x0F7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2864 {0x0990, 0x0340, WORD_LEN, 0 }, 
2865 {0x0992, 0x0E1F, WORD_LEN, 0 }, 
2866 {0x0994, 0x0380, WORD_LEN, 0 }, 
2867 {0x0996, 0x0AEC, WORD_LEN, 0 }, 
2868 {0x0998, 0x02C4, WORD_LEN, 0 }, 
2869 {0x099A, 0x3F4F, WORD_LEN, 0 }, 
2870 {0x099C, 0x0505, WORD_LEN, 0 }, 
2871 {0x099E, 0x0520, WORD_LEN, 0 }, 
2872 {0x098A, 0x0F8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2873 {0x0990, 0x1B1F, WORD_LEN, 0 }, 
2874 {0x0992, 0x0380, WORD_LEN, 0 }, 
2875 {0x0994, 0x09EC, WORD_LEN, 0 }, 
2876 {0x0996, 0x02C4, WORD_LEN, 0 }, 
2877 {0x0998, 0x3F4F, WORD_LEN, 0 }, 
2878 {0x099A, 0x0505, WORD_LEN, 0 }, 
2879 {0x099C, 0x200E, WORD_LEN, 0 }, 
2880 {0x099E, 0x1F03, WORD_LEN, 0 }, 
2881 {0x098A, 0x0F9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2882 {0x0990, 0x4008, WORD_LEN, 0 }, 
2883 {0x0992, 0xEC02, WORD_LEN, 0 }, 
2884 {0x0994, 0xC43F, WORD_LEN, 0 }, 
2885 {0x0996, 0x4F05, WORD_LEN, 0 }, 
2886 {0x0998, 0x2002, WORD_LEN, 0 }, 
2887 {0x099A, 0xEC02, WORD_LEN, 0 }, 
2888 {0x099C, 0xED00, WORD_LEN, 0 }, 
2889 {0x099E, 0x3838, WORD_LEN, 0 }, 
2890 {0x098A, 0x8FAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2891 {0x0990, 0x0039, WORD_LEN, 0 },         // MCU_DATA_0
2892 {0x098A, 0x1000, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2893 {0x0990, 0xCC10, WORD_LEN, 0 }, 
2894 {0x0992, 0x09BD, WORD_LEN, 0 }, 
2895 {0x0994, 0x4224, WORD_LEN, 0 }, 
2896 {0x0996, 0x7E10, WORD_LEN, 0 }, 
2897 {0x0998, 0x09C6, WORD_LEN, 0 }, 
2898 {0x099A, 0x01F7, WORD_LEN, 0 }, 
2899 {0x099C, 0x018A, WORD_LEN, 0 }, 
2900 {0x099E, 0xC609, WORD_LEN, 0 }, 
2901 {0x098A, 0x1010, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2902 {0x0990, 0xF701, WORD_LEN, 0 }, 
2903 {0x0992, 0x8BDE, WORD_LEN, 0 }, 
2904 {0x0994, 0x3F18, WORD_LEN, 0 }, 
2905 {0x0996, 0xCE0B, WORD_LEN, 0 }, 
2906 {0x0998, 0xF3CC, WORD_LEN, 0 }, 
2907 {0x099A, 0x0011, WORD_LEN, 0 }, 
2908 {0x099C, 0xBDD7, WORD_LEN, 0 }, 
2909 {0x099E, 0x00CC, WORD_LEN, 0 }, 
2910 {0x098A, 0x1020, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2911 {0x0990, 0x0BF3, WORD_LEN, 0 }, 
2912 {0x0992, 0xDD3F, WORD_LEN, 0 }, 
2913 {0x0994, 0xDE35, WORD_LEN, 0 }, 
2914 {0x0996, 0x18CE, WORD_LEN, 0 }, 
2915 {0x0998, 0x0C05, WORD_LEN, 0 }, 
2916 {0x099A, 0xCC00, WORD_LEN, 0 }, 
2917 {0x099C, 0x3FBD, WORD_LEN, 0 }, 
2918 {0x099E, 0xD700, WORD_LEN, 0 }, 
2919 {0x098A, 0x1030, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2920 {0x0990, 0xCC0C, WORD_LEN, 0 }, 
2921 {0x0992, 0x05DD, WORD_LEN, 0 }, 
2922 {0x0994, 0x35DE, WORD_LEN, 0 }, 
2923 {0x0996, 0x4718, WORD_LEN, 0 }, 
2924 {0x0998, 0xCE0C, WORD_LEN, 0 }, 
2925 {0x099A, 0x45CC, WORD_LEN, 0 }, 
2926 {0x099C, 0x0015, WORD_LEN, 0 }, 
2927 {0x099E, 0xBDD7, WORD_LEN, 0 }, 
2928 {0x098A, 0x1040, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2929 {0x0990, 0x00CC, WORD_LEN, 0 }, 
2930 {0x0992, 0x0C45, WORD_LEN, 0 }, 
2931 {0x0994, 0xDD47, WORD_LEN, 0 }, 
2932 {0x0996, 0xFE00, WORD_LEN, 0 }, 
2933 {0x0998, 0x3318, WORD_LEN, 0 }, 
2934 {0x099A, 0xCE0C, WORD_LEN, 0 }, 
2935 {0x099C, 0x5BCC, WORD_LEN, 0 }, 
2936 {0x099E, 0x0009, WORD_LEN, 0 }, 
2937 {0x098A, 0x1050, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2938 {0x0990, 0xBDD7, WORD_LEN, 0 }, 
2939 {0x0992, 0x00CC, WORD_LEN, 0 }, 
2940 {0x0994, 0x0C5B, WORD_LEN, 0 }, 
2941 {0x0996, 0xFD00, WORD_LEN, 0 }, 
2942 {0x0998, 0x33DE, WORD_LEN, 0 }, 
2943 {0x099A, 0x3118, WORD_LEN, 0 }, 
2944 {0x099C, 0xCE0C, WORD_LEN, 0 }, 
2945 {0x099E, 0x65CC, WORD_LEN, 0 }, 
2946 {0x098A, 0x1060, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2947 {0x0990, 0x0029, WORD_LEN, 0 }, 
2948 {0x0992, 0xBDD7, WORD_LEN, 0 }, 
2949 {0x0994, 0x00CC, WORD_LEN, 0 }, 
2950 {0x0996, 0x0C65, WORD_LEN, 0 }, 
2951 {0x0998, 0xDD31, WORD_LEN, 0 }, 
2952 {0x099A, 0xDE39, WORD_LEN, 0 }, 
2953 {0x099C, 0x18CE, WORD_LEN, 0 }, 
2954 {0x099E, 0x0C8F, WORD_LEN, 0 }, 
2955 {0x098A, 0x1070, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2956 {0x0990, 0xCC00, WORD_LEN, 0 }, 
2957 {0x0992, 0x23BD, WORD_LEN, 0 }, 
2958 {0x0994, 0xD700, WORD_LEN, 0 }, 
2959 {0x0996, 0xCC0C, WORD_LEN, 0 }, 
2960 {0x0998, 0x8FDD, WORD_LEN, 0 }, 
2961 {0x099A, 0x39DE, WORD_LEN, 0 }, 
2962 {0x099C, 0x4918, WORD_LEN, 0 }, 
2963 {0x099E, 0xCE0C, WORD_LEN, 0 }, 
2964 {0x098A, 0x1080, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2965 {0x0990, 0xB3CC, WORD_LEN, 0 }, 
2966 {0x0992, 0x000D, WORD_LEN, 0 }, 
2967 {0x0994, 0xBDD7, WORD_LEN, 0 }, 
2968 {0x0996, 0x00CC, WORD_LEN, 0 }, 
2969 {0x0998, 0x0CB3, WORD_LEN, 0 }, 
2970 {0x099A, 0xDD49, WORD_LEN, 0 }, 
2971 {0x099C, 0xFC04, WORD_LEN, 0 }, 
2972 {0x099E, 0xC2FD, WORD_LEN, 0 }, 
2973 {0x098A, 0x1090, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2974 {0x0990, 0x0BF1, WORD_LEN, 0 }, 
2975 {0x0992, 0x18FE, WORD_LEN, 0 }, 
2976 {0x0994, 0x0BF1, WORD_LEN, 0 }, 
2977 {0x0996, 0xCDEE, WORD_LEN, 0 }, 
2978 {0x0998, 0x1518, WORD_LEN, 0 }, 
2979 {0x099A, 0xCE0C, WORD_LEN, 0 }, 
2980 {0x099C, 0xC1CC, WORD_LEN, 0 }, 
2981 {0x099E, 0x0029, WORD_LEN, 0 }, 
2982 {0x098A, 0x10A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2983 {0x0990, 0xBDD7, WORD_LEN, 0 }, 
2984 {0x0992, 0x00FE, WORD_LEN, 0 }, 
2985 {0x0994, 0x0BF1, WORD_LEN, 0 }, 
2986 {0x0996, 0xCC0C, WORD_LEN, 0 }, 
2987 {0x0998, 0xC1ED, WORD_LEN, 0 }, 
2988 {0x099A, 0x15CC, WORD_LEN, 0 }, 
2989 {0x099C, 0x11A5, WORD_LEN, 0 }, 
2990 {0x099E, 0xFD0B, WORD_LEN, 0 }, 
2991 {0x098A, 0x10B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2992 {0x0990, 0xFFCC, WORD_LEN, 0 }, 
2993 {0x0992, 0x0CFB, WORD_LEN, 0 }, 
2994 {0x0994, 0xFD0C, WORD_LEN, 0 }, 
2995 {0x0996, 0x21CC, WORD_LEN, 0 }, 
2996 {0x0998, 0x128F, WORD_LEN, 0 }, 
2997 {0x099A, 0xFD0C, WORD_LEN, 0 }, 
2998 {0x099C, 0x53CC, WORD_LEN, 0 }, 
2999 {0x099E, 0x114E, WORD_LEN, 0 }, 
3000 {0x098A, 0x10C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3001 {0x0990, 0xFD0C, WORD_LEN, 0 }, 
3002 {0x0992, 0x5DCC, WORD_LEN, 0 }, 
3003 {0x0994, 0x10E2, WORD_LEN, 0 }, 
3004 {0x0996, 0xFD0C, WORD_LEN, 0 }, 
3005 {0x0998, 0x6FCC, WORD_LEN, 0 }, 
3006 {0x099A, 0x0EDD, WORD_LEN, 0 }, 
3007 {0x099C, 0xFD0C, WORD_LEN, 0 }, 
3008 {0x099E, 0xD7CC, WORD_LEN, 0 }, 
3009 {0x098A, 0x10D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3010 {0x0990, 0x0EBA, WORD_LEN, 0 }, 
3011 {0x0992, 0xFD0C, WORD_LEN, 0 }, 
3012 {0x0994, 0xE9CC, WORD_LEN, 0 }, 
3013 {0x0996, 0x1350, WORD_LEN, 0 }, 
3014 {0x0998, 0xFD0C, WORD_LEN, 0 }, 
3015 {0x099A, 0x9BCC, WORD_LEN, 0 }, 
3016 {0x099C, 0x0E29, WORD_LEN, 0 }, 
3017 {0x099E, 0xFD0C, WORD_LEN, 0 }, 
3018 {0x098A, 0x10E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3019 {0x0990, 0xBF39, WORD_LEN, 0 }, 
3020 {0x0992, 0x373C, WORD_LEN, 0 }, 
3021 {0x0994, 0x3CDE, WORD_LEN, 0 }, 
3022 {0x0996, 0x1DEC, WORD_LEN, 0 }, 
3023 {0x0998, 0x0C5F, WORD_LEN, 0 }, 
3024 {0x099A, 0x8402, WORD_LEN, 0 }, 
3025 {0x099C, 0x4416, WORD_LEN, 0 }, 
3026 {0x099E, 0x4FF7, WORD_LEN, 0 }, 
3027 {0x098A, 0x10F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3028 {0x0990, 0x0CEB, WORD_LEN, 0 }, 
3029 {0x0992, 0xE60B, WORD_LEN, 0 }, 
3030 {0x0994, 0xC407, WORD_LEN, 0 }, 
3031 {0x0996, 0xF70C, WORD_LEN, 0 }, 
3032 {0x0998, 0xEC7F, WORD_LEN, 0 }, 
3033 {0x099A, 0x30C4, WORD_LEN, 0 }, 
3034 {0x099C, 0xEC25, WORD_LEN, 0 }, 
3035 {0x099E, 0xFD30, WORD_LEN, 0 }, 
3036 {0x098A, 0x1100, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3037 {0x0990, 0xC5FC, WORD_LEN, 0 }, 
3038 {0x0992, 0x06D6, WORD_LEN, 0 }, 
3039 {0x0994, 0xFD30, WORD_LEN, 0 }, 
3040 {0x0996, 0xC701, WORD_LEN, 0 }, 
3041 {0x0998, 0xFC30, WORD_LEN, 0 }, 
3042 {0x099A, 0xC0FD, WORD_LEN, 0 }, 
3043 {0x099C, 0x0BED, WORD_LEN, 0 }, 
3044 {0x099E, 0xFC30, WORD_LEN, 0 }, 
3045 {0x098A, 0x1110, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3046 {0x0990, 0xC2FD, WORD_LEN, 0 }, 
3047 {0x0992, 0x0BEF, WORD_LEN, 0 }, 
3048 {0x0994, 0xFC04, WORD_LEN, 0 }, 
3049 {0x0996, 0xC283, WORD_LEN, 0 }, 
3050 {0x0998, 0xFFFF, WORD_LEN, 0 }, 
3051 {0x099A, 0x2728, WORD_LEN, 0 }, 
3052 {0x099C, 0xDE06, WORD_LEN, 0 }, 
3053 {0x099E, 0xEC22, WORD_LEN, 0 }, 
3054 {0x098A, 0x1120, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3055 {0x0990, 0x8322, WORD_LEN, 0 }, 
3056 {0x0992, 0x0026, WORD_LEN, 0 }, 
3057 {0x0994, 0x1FCC, WORD_LEN, 0 }, 
3058 {0x0996, 0x3064, WORD_LEN, 0 }, 
3059 {0x0998, 0x30ED, WORD_LEN, 0 }, 
3060 {0x099A, 0x008F, WORD_LEN, 0 }, 
3061 {0x099C, 0xC300, WORD_LEN, 0 }, 
3062 {0x099E, 0x02DE, WORD_LEN, 0 }, 
3063 {0x098A, 0x1130, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3064 {0x0990, 0x0CAD, WORD_LEN, 0 }, 
3065 {0x0992, 0x0030, WORD_LEN, 0 }, 
3066 {0x0994, 0x1D02, WORD_LEN, 0 }, 
3067 {0x0996, 0x01CC, WORD_LEN, 0 }, 
3068 {0x0998, 0x3064, WORD_LEN, 0 }, 
3069 {0x099A, 0xED00, WORD_LEN, 0 }, 
3070 {0x099C, 0x8FC3, WORD_LEN, 0 }, 
3071 {0x099E, 0x0002, WORD_LEN, 0 }, 
3072 {0x098A, 0x1140, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3073 {0x0990, 0xDE0E, WORD_LEN, 0 }, 
3074 {0x0992, 0xAD00, WORD_LEN, 0 }, 
3075 {0x0994, 0x30E6, WORD_LEN, 0 }, 
3076 {0x0996, 0x04BD, WORD_LEN, 0 }, 
3077 {0x0998, 0x5203, WORD_LEN, 0 }, 
3078 {0x099A, 0x3838, WORD_LEN, 0 }, 
3079 {0x099C, 0x3139, WORD_LEN, 0 }, 
3080 {0x099E, 0x3C3C, WORD_LEN, 0 }, 
3081 {0x098A, 0x1150, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3082 {0x0990, 0x3C21, WORD_LEN, 0 }, 
3083 {0x0992, 0x01CC, WORD_LEN, 0 }, 
3084 {0x0994, 0x0018, WORD_LEN, 0 }, 
3085 {0x0996, 0xBD6F, WORD_LEN, 0 }, 
3086 {0x0998, 0xD1C5, WORD_LEN, 0 }, 
3087 {0x099A, 0x0426, WORD_LEN, 0 }, 
3088 {0x099C, 0xF5DC, WORD_LEN, 0 }, 
3089 {0x099E, 0x2530, WORD_LEN, 0 }, 
3090 {0x098A, 0x1160, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3091 {0x0990, 0xED04, WORD_LEN, 0 }, 
3092 {0x0992, 0x2012, WORD_LEN, 0 }, 
3093 {0x0994, 0xEE04, WORD_LEN, 0 }, 
3094 {0x0996, 0x3C18, WORD_LEN, 0 }, 
3095 {0x0998, 0x38E6, WORD_LEN, 0 }, 
3096 {0x099A, 0x2118, WORD_LEN, 0 }, 
3097 {0x099C, 0xE7BE, WORD_LEN, 0 }, 
3098 {0x099E, 0x30EE, WORD_LEN, 0 }, 
3099 {0x098A, 0x1170, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3100 {0x0990, 0x04EC, WORD_LEN, 0 }, 
3101 {0x0992, 0x1D30, WORD_LEN, 0 }, 
3102 {0x0994, 0xED04, WORD_LEN, 0 }, 
3103 {0x0996, 0xEC04, WORD_LEN, 0 }, 
3104 {0x0998, 0x26EA, WORD_LEN, 0 }, 
3105 {0x099A, 0xCC00, WORD_LEN, 0 }, 
3106 {0x099C, 0x1AED, WORD_LEN, 0 }, 
3107 {0x099E, 0x02CC, WORD_LEN, 0 }, 
3108 {0x098A, 0x1180, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3109 {0x0990, 0xFBFF, WORD_LEN, 0 }, 
3110 {0x0992, 0xED00, WORD_LEN, 0 }, 
3111 {0x0994, 0xCC04, WORD_LEN, 0 }, 
3112 {0x0996, 0x00BD, WORD_LEN, 0 }, 
3113 {0x0998, 0x706D, WORD_LEN, 0 }, 
3114 {0x099A, 0xCC00, WORD_LEN, 0 }, 
3115 {0x099C, 0x1A30, WORD_LEN, 0 }, 
3116 {0x099E, 0xED02, WORD_LEN, 0 }, 
3117 {0x098A, 0x1190, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3118 {0x0990, 0xCCFB, WORD_LEN, 0 }, 
3119 {0x0992, 0xFFED, WORD_LEN, 0 }, 
3120 {0x0994, 0x005F, WORD_LEN, 0 }, 
3121 {0x0996, 0x4FBD, WORD_LEN, 0 }, 
3122 {0x0998, 0x706D, WORD_LEN, 0 }, 
3123 {0x099A, 0x5FBD, WORD_LEN, 0 }, 
3124 {0x099C, 0x5B17, WORD_LEN, 0 }, 
3125 {0x099E, 0xBD55, WORD_LEN, 0 }, 
3126 {0x098A, 0x11A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3127 {0x0990, 0x8B38, WORD_LEN, 0 }, 
3128 {0x0992, 0x3838, WORD_LEN, 0 }, 
3129 {0x0994, 0x393C, WORD_LEN, 0 }, 
3130 {0x0996, 0x3CC6, WORD_LEN, 0 }, 
3131 {0x0998, 0x40F7, WORD_LEN, 0 }, 
3132 {0x099A, 0x30C4, WORD_LEN, 0 }, 
3133 {0x099C, 0xFC0B, WORD_LEN, 0 }, 
3134 {0x099E, 0xEDFD, WORD_LEN, 0 }, 
3135 {0x098A, 0x11B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3136 {0x0990, 0x30C0, WORD_LEN, 0 }, 
3137 {0x0992, 0xFC0B, WORD_LEN, 0 }, 
3138 {0x0994, 0xEFFD, WORD_LEN, 0 }, 
3139 {0x0996, 0x30C2, WORD_LEN, 0 }, 
3140 {0x0998, 0xDE1D, WORD_LEN, 0 }, 
3141 {0x099A, 0xEC25, WORD_LEN, 0 }, 
3142 {0x099C, 0xFD30, WORD_LEN, 0 }, 
3143 {0x099E, 0xC501, WORD_LEN, 0 }, 
3144 {0x098A, 0x11C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3145 {0x0990, 0x0101, WORD_LEN, 0 }, 
3146 {0x0992, 0xFC30, WORD_LEN, 0 }, 
3147 {0x0994, 0xC2FD, WORD_LEN, 0 }, 
3148 {0x0996, 0x06D6, WORD_LEN, 0 }, 
3149 {0x0998, 0xEC0C, WORD_LEN, 0 }, 
3150 {0x099A, 0x5F84, WORD_LEN, 0 }, 
3151 {0x099C, 0x0244, WORD_LEN, 0 }, 
3152 {0x099E, 0x164F, WORD_LEN, 0 }, 
3153 {0x098A, 0x11D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3154 {0x0990, 0x30E7, WORD_LEN, 0 }, 
3155 {0x0992, 0x03F1, WORD_LEN, 0 }, 
3156 {0x0994, 0x0CEB, WORD_LEN, 0 }, 
3157 {0x0996, 0x2715, WORD_LEN, 0 }, 
3158 {0x0998, 0xF10C, WORD_LEN, 0 }, 
3159 {0x099A, 0xEB23, WORD_LEN, 0 }, 
3160 {0x099C, 0x09FC, WORD_LEN, 0 }, 
3161 {0x099E, 0x06D6, WORD_LEN, 0 }, 
3162 {0x098A, 0x11E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3163 {0x0990, 0x04FD, WORD_LEN, 0 }, 
3164 {0x0992, 0x06D6, WORD_LEN, 0 }, 
3165 {0x0994, 0x2007, WORD_LEN, 0 }, 
3166 {0x0996, 0xFC06, WORD_LEN, 0 }, 
3167 {0x0998, 0xD605, WORD_LEN, 0 }, 
3168 {0x099A, 0xFD06, WORD_LEN, 0 }, 
3169 {0x099C, 0xD6DE, WORD_LEN, 0 }, 
3170 {0x099E, 0x1DE6, WORD_LEN, 0 }, 
3171 {0x098A, 0x11F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3172 {0x0990, 0x0BC4, WORD_LEN, 0 }, 
3173 {0x0992, 0x0730, WORD_LEN, 0 }, 
3174 {0x0994, 0xE702, WORD_LEN, 0 }, 
3175 {0x0996, 0xF10C, WORD_LEN, 0 }, 
3176 {0x0998, 0xEC27, WORD_LEN, 0 }, 
3177 {0x099A, 0x2C7D, WORD_LEN, 0 }, 
3178 {0x099C, 0x0CEC, WORD_LEN, 0 }, 
3179 {0x099E, 0x2727, WORD_LEN, 0 }, 
3180 {0x098A, 0x1200, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3181 {0x0990, 0x5D27, WORD_LEN, 0 }, 
3182 {0x0992, 0x247F, WORD_LEN, 0 }, 
3183 {0x0994, 0x30C4, WORD_LEN, 0 }, 
3184 {0x0996, 0xFC06, WORD_LEN, 0 }, 
3185 {0x0998, 0xD6FD, WORD_LEN, 0 }, 
3186 {0x099A, 0x30C5, WORD_LEN, 0 }, 
3187 {0x099C, 0xF60C, WORD_LEN, 0 }, 
3188 {0x099E, 0xEC4F, WORD_LEN, 0 }, 
3189 {0x098A, 0x1210, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3190 {0x0990, 0xFD30, WORD_LEN, 0 }, 
3191 {0x0992, 0xC7C6, WORD_LEN, 0 }, 
3192 {0x0994, 0x40F7, WORD_LEN, 0 }, 
3193 {0x0996, 0x30C4, WORD_LEN, 0 }, 
3194 {0x0998, 0xE602, WORD_LEN, 0 }, 
3195 {0x099A, 0x4FFD, WORD_LEN, 0 }, 
3196 {0x099C, 0x30C5, WORD_LEN, 0 }, 
3197 {0x099E, 0x0101, WORD_LEN, 0 }, 
3198 {0x098A, 0x1220, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3199 {0x0990, 0x01FC, WORD_LEN, 0 }, 
3200 {0x0992, 0x30C2, WORD_LEN, 0 }, 
3201 {0x0994, 0xFD06, WORD_LEN, 0 }, 
3202 {0x0996, 0xD67D, WORD_LEN, 0 }, 
3203 {0x0998, 0x06CB, WORD_LEN, 0 }, 
3204 {0x099A, 0x272E, WORD_LEN, 0 }, 
3205 {0x099C, 0xC640, WORD_LEN, 0 }, 
3206 {0x099E, 0xF730, WORD_LEN, 0 }, 
3207 {0x098A, 0x1230, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3208 {0x0990, 0xC4FC, WORD_LEN, 0 }, 
3209 {0x0992, 0x06C1, WORD_LEN, 0 }, 
3210 {0x0994, 0x04F3, WORD_LEN, 0 }, 
3211 {0x0996, 0x06D6, WORD_LEN, 0 }, 
3212 {0x0998, 0xED00, WORD_LEN, 0 }, 
3213 {0x099A, 0x5F6D, WORD_LEN, 0 }, 
3214 {0x099C, 0x002A, WORD_LEN, 0 }, 
3215 {0x099E, 0x0153, WORD_LEN, 0 }, 
3216 {0x098A, 0x1240, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3217 {0x0990, 0x17FD, WORD_LEN, 0 }, 
3218 {0x0992, 0x30C0, WORD_LEN, 0 }, 
3219 {0x0994, 0xEC00, WORD_LEN, 0 }, 
3220 {0x0996, 0xFD30, WORD_LEN, 0 }, 
3221 {0x0998, 0xC2FC, WORD_LEN, 0 }, 
3222 {0x099A, 0x06C1, WORD_LEN, 0 }, 
3223 {0x099C, 0xFD30, WORD_LEN, 0 }, 
3224 {0x099E, 0xC501, WORD_LEN, 0 }, 
3225 {0x098A, 0x1250, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3226 {0x0990, 0x0101, WORD_LEN, 0 }, 
3227 {0x0992, 0xFC30, WORD_LEN, 0 }, 
3228 {0x0994, 0xC2FD, WORD_LEN, 0 }, 
3229 {0x0996, 0x06C7, WORD_LEN, 0 }, 
3230 {0x0998, 0x2022, WORD_LEN, 0 }, 
3231 {0x099A, 0x7F30, WORD_LEN, 0 }, 
3232 {0x099C, 0xC4DE, WORD_LEN, 0 }, 
3233 {0x099E, 0x1DEC, WORD_LEN, 0 }, 
3234 {0x098A, 0x1260, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3235 {0x0990, 0x25FD, WORD_LEN, 0 }, 
3236 {0x0992, 0x30C5, WORD_LEN, 0 }, 
3237 {0x0994, 0xFC06, WORD_LEN, 0 }, 
3238 {0x0996, 0xD6FD, WORD_LEN, 0 }, 
3239 {0x0998, 0x30C7, WORD_LEN, 0 }, 
3240 {0x099A, 0x01FC, WORD_LEN, 0 }, 
3241 {0x099C, 0x30C0, WORD_LEN, 0 }, 
3242 {0x099E, 0xFD06, WORD_LEN, 0 }, 
3243 {0x098A, 0x1270, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3244 {0x0990, 0xD0FC, WORD_LEN, 0 }, 
3245 {0x0992, 0x30C2, WORD_LEN, 0 }, 
3246 {0x0994, 0xFD06, WORD_LEN, 0 }, 
3247 {0x0996, 0xD2EC, WORD_LEN, 0 }, 
3248 {0x0998, 0x25FD, WORD_LEN, 0 }, 
3249 {0x099A, 0x06C3, WORD_LEN, 0 }, 
3250 {0x099C, 0xBD95, WORD_LEN, 0 }, 
3251 {0x099E, 0x3CDE, WORD_LEN, 0 }, 
3252 {0x098A, 0x1280, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3253 {0x0990, 0x3FEE, WORD_LEN, 0 }, 
3254 {0x0992, 0x10AD, WORD_LEN, 0 }, 
3255 {0x0994, 0x00DE, WORD_LEN, 0 }, 
3256 {0x0996, 0x1DFC, WORD_LEN, 0 }, 
3257 {0x0998, 0x06CC, WORD_LEN, 0 }, 
3258 {0x099A, 0xED3E, WORD_LEN, 0 }, 
3259 {0x099C, 0x3838, WORD_LEN, 0 }, 
3260 {0x099E, 0x3930, WORD_LEN, 0 }, 
3261 {0x098A, 0x1290, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3262 {0x0990, 0x8FC3, WORD_LEN, 0 }, 
3263 {0x0992, 0xFFEC, WORD_LEN, 0 }, 
3264 {0x0994, 0x8F35, WORD_LEN, 0 }, 
3265 {0x0996, 0xBDAD, WORD_LEN, 0 }, 
3266 {0x0998, 0x15DE, WORD_LEN, 0 }, 
3267 {0x099A, 0x198F, WORD_LEN, 0 }, 
3268 {0x099C, 0xC301, WORD_LEN, 0 }, 
3269 {0x099E, 0x4B8F, WORD_LEN, 0 }, 
3270 {0x098A, 0x12A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3271 {0x0990, 0xEC00, WORD_LEN, 0 }, 
3272 {0x0992, 0xFD05, WORD_LEN, 0 }, 
3273 {0x0994, 0x0EEC, WORD_LEN, 0 }, 
3274 {0x0996, 0x02FD, WORD_LEN, 0 }, 
3275 {0x0998, 0x0510, WORD_LEN, 0 }, 
3276 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
3277 {0x099C, 0xFFCB, WORD_LEN, 0 }, 
3278 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
3279 {0x098A, 0x12B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3280 {0x0990, 0x00F7, WORD_LEN, 0 }, 
3281 {0x0992, 0x0514, WORD_LEN, 0 }, 
3282 {0x0994, 0xE603, WORD_LEN, 0 }, 
3283 {0x0996, 0xF705, WORD_LEN, 0 }, 
3284 {0x0998, 0x15FC, WORD_LEN, 0 }, 
3285 {0x099A, 0x055B, WORD_LEN, 0 }, 
3286 {0x099C, 0xFD05, WORD_LEN, 0 }, 
3287 {0x099E, 0x12DE, WORD_LEN, 0 }, 
3288 {0x098A, 0x12C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3289 {0x0990, 0x37EE, WORD_LEN, 0 }, 
3290 {0x0992, 0x08AD, WORD_LEN, 0 }, 
3291 {0x0994, 0x00F6, WORD_LEN, 0 }, 
3292 {0x0996, 0x0516, WORD_LEN, 0 }, 
3293 {0x0998, 0x4F30, WORD_LEN, 0 }, 
3294 {0x099A, 0xED04, WORD_LEN, 0 }, 
3295 {0x099C, 0xDE1F, WORD_LEN, 0 }, 
3296 {0x099E, 0xEC6B, WORD_LEN, 0 }, 
3297 {0x098A, 0x12D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3298 {0x0990, 0xFD05, WORD_LEN, 0 }, 
3299 {0x0992, 0x0EEC, WORD_LEN, 0 }, 
3300 {0x0994, 0x6DFD, WORD_LEN, 0 }, 
3301 {0x0996, 0x0510, WORD_LEN, 0 }, 
3302 {0x0998, 0xDE19, WORD_LEN, 0 }, 
3303 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
3304 {0x099C, 0x0117, WORD_LEN, 0 }, 
3305 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
3306 {0x098A, 0x12E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3307 {0x0990, 0x00F7, WORD_LEN, 0 }, 
3308 {0x0992, 0x0514, WORD_LEN, 0 }, 
3309 {0x0994, 0xE603, WORD_LEN, 0 }, 
3310 {0x0996, 0xF705, WORD_LEN, 0 }, 
3311 {0x0998, 0x15FC, WORD_LEN, 0 }, 
3312 {0x099A, 0x0559, WORD_LEN, 0 }, 
3313 {0x099C, 0xFD05, WORD_LEN, 0 }, 
3314 {0x099E, 0x12DE, WORD_LEN, 0 }, 
3315 {0x098A, 0x12F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3316 {0x0990, 0x37EE, WORD_LEN, 0 }, 
3317 {0x0992, 0x08AD, WORD_LEN, 0 }, 
3318 {0x0994, 0x00F6, WORD_LEN, 0 }, 
3319 {0x0996, 0x0516, WORD_LEN, 0 }, 
3320 {0x0998, 0x4F30, WORD_LEN, 0 }, 
3321 {0x099A, 0xED06, WORD_LEN, 0 }, 
3322 {0x099C, 0xDE1F, WORD_LEN, 0 }, 
3323 {0x099E, 0xEC6B, WORD_LEN, 0 }, 
3324 {0x098A, 0x1300, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3325 {0x0990, 0xFD05, WORD_LEN, 0 }, 
3326 {0x0992, 0x0EEC, WORD_LEN, 0 }, 
3327 {0x0994, 0x6DFD, WORD_LEN, 0 }, 
3328 {0x0996, 0x0510, WORD_LEN, 0 }, 
3329 {0x0998, 0xDE19, WORD_LEN, 0 }, 
3330 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
3331 {0x099C, 0x0118, WORD_LEN, 0 }, 
3332 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
3333 {0x098A, 0x1310, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3334 {0x0990, 0x00F7, WORD_LEN, 0 }, 
3335 {0x0992, 0x0514, WORD_LEN, 0 }, 
3336 {0x0994, 0xE603, WORD_LEN, 0 }, 
3337 {0x0996, 0xF705, WORD_LEN, 0 }, 
3338 {0x0998, 0x15FC, WORD_LEN, 0 }, 
3339 {0x099A, 0x0559, WORD_LEN, 0 }, 
3340 {0x099C, 0xFD05, WORD_LEN, 0 }, 
3341 {0x099E, 0x12DE, WORD_LEN, 0 }, 
3342 {0x098A, 0x1320, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3343 {0x0990, 0x37EE, WORD_LEN, 0 }, 
3344 {0x0992, 0x08AD, WORD_LEN, 0 }, 
3345 {0x0994, 0x00F6, WORD_LEN, 0 }, 
3346 {0x0996, 0x0516, WORD_LEN, 0 }, 
3347 {0x0998, 0x4F30, WORD_LEN, 0 }, 
3348 {0x099A, 0xED08, WORD_LEN, 0 }, 
3349 {0x099C, 0xCC32, WORD_LEN, 0 }, 
3350 {0x099E, 0x8EED, WORD_LEN, 0 }, 
3351 {0x098A, 0x1330, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3352 {0x0990, 0x00EC, WORD_LEN, 0 }, 
3353 {0x0992, 0x04BD, WORD_LEN, 0 }, 
3354 {0x0994, 0x7021, WORD_LEN, 0 }, 
3355 {0x0996, 0xCC32, WORD_LEN, 0 }, 
3356 {0x0998, 0x6C30, WORD_LEN, 0 }, 
3357 {0x099A, 0xED02, WORD_LEN, 0 }, 
3358 {0x099C, 0xCCF8, WORD_LEN, 0 }, 
3359 {0x099E, 0x00ED, WORD_LEN, 0 }, 
3360 {0x098A, 0x1340, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3361 {0x0990, 0x00A6, WORD_LEN, 0 }, 
3362 {0x0992, 0x07E3, WORD_LEN, 0 }, 
3363 {0x0994, 0x0884, WORD_LEN, 0 }, 
3364 {0x0996, 0x07BD, WORD_LEN, 0 }, 
3365 {0x0998, 0x706D, WORD_LEN, 0 }, 
3366 {0x099A, 0x30C6, WORD_LEN, 0 }, 
3367 {0x099C, 0x143A, WORD_LEN, 0 }, 
3368 {0x099E, 0x3539, WORD_LEN, 0 }, 
3369 {0x098A, 0x1350, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3370 {0x0990, 0x3CBD, WORD_LEN, 0 }, 
3371 {0x0992, 0x776D, WORD_LEN, 0 }, 
3372 {0x0994, 0xCC32, WORD_LEN, 0 }, 
3373 {0x0996, 0x5C30, WORD_LEN, 0 }, 
3374 {0x0998, 0xED00, WORD_LEN, 0 }, 
3375 {0x099A, 0xFC13, WORD_LEN, 0 }, 
3376 {0x099C, 0x8683, WORD_LEN, 0 }, 
3377 {0x099E, 0x0001, WORD_LEN, 0 }, 
3378 {0x098A, 0x1360, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3379 {0x0990, 0xBD70, WORD_LEN, 0 }, 
3380 {0x0992, 0x21CC, WORD_LEN, 0 }, 
3381 {0x0994, 0x325E, WORD_LEN, 0 }, 
3382 {0x0996, 0x30ED, WORD_LEN, 0 }, 
3383 {0x0998, 0x00FC, WORD_LEN, 0 }, 
3384 {0x099A, 0x1388, WORD_LEN, 0 }, 
3385 {0x099C, 0x8300, WORD_LEN, 0 }, 
3386 {0x099E, 0x01BD, WORD_LEN, 0 }, 
3387 {0x098A, 0x1370, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3388 {0x0990, 0x7021, WORD_LEN, 0 }, 
3389 {0x0992, 0x3839, WORD_LEN, 0 }, 
3390 {0x098E, 0x0010, WORD_LEN, 0 },         // MCU_ADDRESS [MON_ADDR]
3391 {0x0990, 0x1000, WORD_LEN, 0 },         // MCU_DATA_0
3392 {0x098E, 0x0003, WORD_LEN, 0 },         // MCU_ADDRESS [MON_ALGO]
3393 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
3394 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
3395 //  POLL  MON_PATCH_0 =>  0x01
3396 {0x098E, 0x4815, WORD_LEN, 0 },         // MCU_ADDRESS
3397 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
3398 {0x098E, 0x485D, WORD_LEN, 0 },         // MCU_ADDRESS
3399 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
3400 {0x0018, 0x0028, WORD_LEN, 0 },         // STANDBY_CONTROL_AND_STATUS
3401 //  POLL  SEQ_STATE =>  0x01, 0x02, ..., 0x03 (11 reads)
3402 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
3403
3404 //af
3405
3406 {0x098E, 0x4403, WORD_LEN, 0 }, 
3407 {0x0990, 0x8001, WORD_LEN, 0 }, 
3408 {0x098E, 0x440B, WORD_LEN, 0 }, 
3409 {0x0990, 0x0032, WORD_LEN, 0 }, 
3410 {0x098E, 0x440D, WORD_LEN, 0 }, 
3411 {0x0990, 0x03B6, WORD_LEN, 0 }, 
3412 {0x098E, 0x8400, WORD_LEN, 0 }, 
3413 {0x0990, 0x0006, WORD_LEN, 0 }, 
3414
3415
3416 //[Lens Correction 90% 05/09/11 15:36:26]
3417 {0x3640, 0x0790, WORD_LEN, 0 },         // P_G1_P0Q0
3418 {0x3642, 0x078B, WORD_LEN, 0 },         // P_G1_P0Q1
3419 {0x3644, 0x3751, WORD_LEN, 0 },         // P_G1_P0Q2
3420 {0x3646, 0x66ED, WORD_LEN, 0 },         // P_G1_P0Q3
3421 {0x3648, 0xCB51, WORD_LEN, 0 },         // P_G1_P0Q4
3422 {0x364A, 0x00B0, WORD_LEN, 0 },         // P_R_P0Q0
3423 {0x364C, 0x2BCA, WORD_LEN, 0 },         // P_R_P0Q1
3424 {0x364E, 0x69D1, WORD_LEN, 0 },         // P_R_P0Q2
3425 {0x3650, 0x140E, WORD_LEN, 0 },         // P_R_P0Q3
3426 {0x3652, 0x98B1, WORD_LEN, 0 },         // P_R_P0Q4
3427 {0x3654, 0x00F0, WORD_LEN, 0 },         // P_B_P0Q0
3428 {0x3656, 0x47A9, WORD_LEN, 0 },         // P_B_P0Q1
3429 {0x3658, 0x7690, WORD_LEN, 0 },         // P_B_P0Q2
3430 {0x365A, 0x44CE, WORD_LEN, 0 },         // P_B_P0Q3
3431 {0x365C, 0x044C, WORD_LEN, 0 },         // P_B_P0Q4
3432 {0x365E, 0x0170, WORD_LEN, 0 },         // P_G2_P0Q0
3433 {0x3660, 0x3BAB, WORD_LEN, 0 },         // P_G2_P0Q1
3434 {0x3662, 0x2F31, WORD_LEN, 0 },         // P_G2_P0Q2
3435 {0x3664, 0x6A8C, WORD_LEN, 0 },         // P_G2_P0Q3
3436 {0x3666, 0xC811, WORD_LEN, 0 },         // P_G2_P0Q4
3437 {0x3680, 0xFD8A, WORD_LEN, 0 },         // P_G1_P1Q0
3438 {0x3682, 0xAC6F, WORD_LEN, 0 },         // P_G1_P1Q1
3439 {0x3684, 0x1EEE, WORD_LEN, 0 },         // P_G1_P1Q2
3440 {0x3686, 0x67B0, WORD_LEN, 0 },         // P_G1_P1Q3
3441 {0x3688, 0xF30F, WORD_LEN, 0 },         // P_G1_P1Q4
3442 {0x368A, 0x98CC, WORD_LEN, 0 },         // P_R_P1Q0
3443 {0x368C, 0x1AEF, WORD_LEN, 0 },         // P_R_P1Q1
3444 {0x368E, 0x01B0, WORD_LEN, 0 },         // P_R_P1Q2
3445 {0x3690, 0x3C8C, WORD_LEN, 0 },         // P_R_P1Q3
3446 {0x3692, 0x93F2, WORD_LEN, 0 },         // P_R_P1Q4
3447 {0x3694, 0x5808, WORD_LEN, 0 },         // P_B_P1Q0
3448 {0x3696, 0xA12F, WORD_LEN, 0 },         // P_B_P1Q1
3449 {0x3698, 0x1B8F, WORD_LEN, 0 },         // P_B_P1Q2
3450 {0x369A, 0x37D1, WORD_LEN, 0 },         // P_B_P1Q3
3451 {0x369C, 0xF931, WORD_LEN, 0 },         // P_B_P1Q4
3452 {0x369E, 0x128A, WORD_LEN, 0 },         // P_G2_P1Q0
3453 {0x36A0, 0x064F, WORD_LEN, 0 },         // P_G2_P1Q1
3454 {0x36A2, 0x2AAE, WORD_LEN, 0 },         // P_G2_P1Q2
3455 {0x36A4, 0xBE0F, WORD_LEN, 0 },         // P_G2_P1Q3
3456 {0x36A6, 0xEE90, WORD_LEN, 0 },         // P_G2_P1Q4
3457 {0x36C0, 0x1D12, WORD_LEN, 0 },         // P_G1_P2Q0
3458 {0x36C2, 0x95AB, WORD_LEN, 0 },         // P_G1_P2Q1
3459 {0x36C4, 0xDD8B, WORD_LEN, 0 },         // P_G1_P2Q2
3460 {0x36C6, 0x1EAC, WORD_LEN, 0 },         // P_G1_P2Q3
3461 {0x36C8, 0xC494, WORD_LEN, 0 },         // P_G1_P2Q4
3462 {0x36CA, 0x4A32, WORD_LEN, 0 },         // P_R_P2Q0
3463 {0x36CC, 0xB34E, WORD_LEN, 0 },         // P_R_P2Q1
3464 {0x36CE, 0x2691, WORD_LEN, 0 },         // P_R_P2Q2
3465 {0x36D0, 0x1BB1, WORD_LEN, 0 },         // P_R_P2Q3
3466 {0x36D2, 0xC7D5, WORD_LEN, 0 },         // P_R_P2Q4
3467 {0x36D4, 0x0EB2, WORD_LEN, 0 },         // P_B_P2Q0
3468 {0x36D6, 0xB42E, WORD_LEN, 0 },         // P_B_P2Q1
3469 {0x36D8, 0x0AB2, WORD_LEN, 0 },         // P_B_P2Q2
3470 {0x36DA, 0x08F1, WORD_LEN, 0 },         // P_B_P2Q3
3471 {0x36DC, 0xA295, WORD_LEN, 0 },         // P_B_P2Q4
3472 {0x36DE, 0x2112, WORD_LEN, 0 },         // P_G2_P2Q0
3473 {0x36E0, 0xBF2D, WORD_LEN, 0 },         // P_G2_P2Q1
3474 {0x36E2, 0x1E70, WORD_LEN, 0 },         // P_G2_P2Q2
3475 {0x36E4, 0xB36D, WORD_LEN, 0 },         // P_G2_P2Q3
3476 {0x36E6, 0x8275, WORD_LEN, 0 },         // P_G2_P2Q4
3477 {0x3700, 0xA86D, WORD_LEN, 0 },         // P_G1_P3Q0
3478 {0x3702, 0xF40E, WORD_LEN, 0 },         // P_G1_P3Q1
3479 {0x3704, 0xDE0F, WORD_LEN, 0 },         // P_G1_P3Q2
3480 {0x3706, 0x6790, WORD_LEN, 0 },         // P_G1_P3Q3
3481 {0x3708, 0x2A72, WORD_LEN, 0 },         // P_G1_P3Q4
3482 {0x370A, 0xE9CF, WORD_LEN, 0 },         // P_R_P3Q0
3483 {0x370C, 0x6C0F, WORD_LEN, 0 },         // P_R_P3Q1
3484 {0x370E, 0x2F30, WORD_LEN, 0 },         // P_R_P3Q2
3485 {0x3710, 0xDD33, WORD_LEN, 0 },         // P_R_P3Q3
3486 {0x3712, 0x64D1, WORD_LEN, 0 },         // P_R_P3Q4
3487 {0x3714, 0x974E, WORD_LEN, 0 },         // P_B_P3Q0
3488 {0x3716, 0x3A0E, WORD_LEN, 0 },         // P_B_P3Q1
3489 {0x3718, 0x580F, WORD_LEN, 0 },         // P_B_P3Q2
3490 {0x371A, 0xB0D0, WORD_LEN, 0 },         // P_B_P3Q3
3491 {0x371C, 0x17B3, WORD_LEN, 0 },         // P_B_P3Q4
3492 {0x371E, 0xA0CD, WORD_LEN, 0 },         // P_G2_P3Q0
3493 {0x3720, 0x1070, WORD_LEN, 0 },         // P_G2_P3Q1
3494 {0x3722, 0xD9D1, WORD_LEN, 0 },         // P_G2_P3Q2
3495 {0x3724, 0xC733, WORD_LEN, 0 },         // P_G2_P3Q3
3496 {0x3726, 0x7B93, WORD_LEN, 0 },         // P_G2_P3Q4
3497 {0x3740, 0xE870, WORD_LEN, 0 },         // P_G1_P4Q0
3498 {0x3742, 0x854A, WORD_LEN, 0 },         // P_G1_P4Q1
3499 {0x3744, 0xA936, WORD_LEN, 0 },         // P_G1_P4Q2
3500 {0x3746, 0xADF2, WORD_LEN, 0 },         // P_G1_P4Q3
3501 {0x3748, 0x2538, WORD_LEN, 0 },         // P_G1_P4Q4
3502 {0x374A, 0x9651, WORD_LEN, 0 },         // P_R_P4Q0
3503 {0x374C, 0x06D1, WORD_LEN, 0 },         // P_R_P4Q1
3504 {0x374E, 0xE676, WORD_LEN, 0 },         // P_R_P4Q2
3505 {0x3750, 0xC494, WORD_LEN, 0 },         // P_R_P4Q3
3506 {0x3752, 0x6318, WORD_LEN, 0 },         // P_R_P4Q4
3507 {0x3754, 0x0A0F, WORD_LEN, 0 },         // P_B_P4Q0
3508 {0x3756, 0x1DB2, WORD_LEN, 0 },         // P_B_P4Q1
3509 {0x3758, 0xBA36, WORD_LEN, 0 },         // P_B_P4Q2
3510 {0x375A, 0xC5D4, WORD_LEN, 0 },         // P_B_P4Q3
3511 {0x375C, 0x37B8, WORD_LEN, 0 },         // P_B_P4Q4
3512 {0x375E, 0x93B1, WORD_LEN, 0 },         // P_G2_P4Q0
3513 {0x3760, 0xBFAE, WORD_LEN, 0 },         // P_G2_P4Q1
3514 {0x3762, 0xC1B6, WORD_LEN, 0 },         // P_G2_P4Q2
3515 {0x3764, 0xB311, WORD_LEN, 0 },         // P_G2_P4Q3
3516 {0x3766, 0x3E98, WORD_LEN, 0 },         // P_G2_P4Q4
3517 {0x3782, 0x0304, WORD_LEN, 0 },         // CENTER_ROW
3518 {0x3784, 0x0404, WORD_LEN, 0 },         // CENTER_COLUMN
3519 {0x3210, 0x0008, WORD_LEN, 0 },  //PGA_ENABLE
3520
3521
3522
3523 //[PA Default]
3524 {0x3C20, 0x0000, WORD_LEN, 0 },          // TX_SS_CONTROL
3525 //[Brightness_Metric]
3526 {0x3210, 0x01B8, WORD_LEN, 0 },          // COLOR_PIPELINE_CONTROL
3527 {0x098E, 0xC913, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_STAT_BRIGHTNESS_METRIC_PREDIVIDER]
3528 {0x0990, 0x000A, WORD_LEN, 0 },          // MCU_DATA_0
3529 {0x098E, 0x686B, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_START_BRIGHTNESS]
3530 {0x0990, 0x05DC, WORD_LEN, 0 },          // MCU_DATA_0
3531 {0x098E, 0x686D, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_STOP_BRIGHTNESS]
3532 {0x0990, 0x0BB8, WORD_LEN, 0 },          // MCU_DATA_0
3533 {0x098E, 0x6C6B, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_LL_START_BRIGHTNESS]
3534 {0x0990, 0x05DC, WORD_LEN, 0 },          // MCU_DATA_0
3535 {0x098E, 0x6C6D, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_LL_STOP_BRIGHTNESS]
3536 {0x0990, 0x0BB8, WORD_LEN, 0 },          // MCU_DATA_0
3537 {0x098E, 0x3439, WORD_LEN, 0 },          // MCU_ADDRESS [AS_ASSTART_BRIGHTNESS]
3538 {0x0990, 0x05DC, WORD_LEN, 0 },          // MCU_DATA_0
3539 {0x098E, 0x343B, WORD_LEN, 0 },          // MCU_ADDRESS [AS_ASSTOP_BRIGHTNESS]
3540 {0x0990, 0x0BB8, WORD_LEN, 0 },          // MCU_DATA_0
3541 {0x098E, 0x4926, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_START_GAMMA_BM]
3542 {0x0990, 0x0001, WORD_LEN, 0 },          // MCU_DATA_0
3543 {0x098E, 0x4928, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_MID_GAMMA_BM]
3544 {0x0990, 0x0002, WORD_LEN, 0 },          // MCU_DATA_0
3545 {0x098E, 0x492A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_STOP_GAMMA_BM]
3546 {0x0990, 0x0656, WORD_LEN, 0 },          // MCU_DATA_0
3547 {0x098E, 0x4D26, WORD_LEN, 0 },          // MCU_ADDRESS [CAM2_LL_START_GAMMA_BM]
3548 {0x0990, 0x0001, WORD_LEN, 0 },          // MCU_DATA_0
3549 {0x098E, 0x4D28, WORD_LEN, 0 },          // MCU_ADDRESS [CAM2_LL_MID_GAMMA_BM]
3550 {0x0990, 0x0002, WORD_LEN, 0 },          // MCU_DATA_0
3551 {0x098E, 0x4D2A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM2_LL_STOP_GAMMA_BM]
3552 {0x0990, 0x0656, WORD_LEN, 0 },          // MCU_DATA_0
3553
3554
3555 //[FW kernel]
3556 {0x33F4, 0x040B, WORD_LEN, 0 },          // KERNEL_CONFIG
3557
3558
3559 //[Demosaic]
3560 {0x098E, 0xC916, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_START_0]
3561 {0x0990, 0x0014, WORD_LEN, 0 },          // MCU_DATA_0
3562 {0x098E, 0xC919, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_STOP_0]
3563 {0x0990, 0x0028, WORD_LEN, 0 },          // MCU_DATA_0
3564
3565
3566
3567
3568 //[Aperture]
3569 {0x098E, 0xC917, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_START_1]
3570 {0x0990, 0x0004, WORD_LEN, 0 },          // MCU_DATA_0
3571 {0x098E, 0xC918, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_START_2]
3572 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
3573 {0x098E, 0xC91A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_STOP_1]
3574 {0x0990, 0x0001, WORD_LEN, 0 },          // MCU_DATA_0
3575 {0x098E, 0xC91B, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_STOP_2]
3576 {0x0990, 0x0009, WORD_LEN, 0 },          // MCU_DATA_0
3577 {0x326C, 0x0C00, WORD_LEN, 0 },          // APERTURE_PARAMETERS_2D
3578
3579 {0x098E, 0x6865, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_ENTER]
3580 {0x0990, 0x00E0, WORD_LEN, 0 },          // MCU_DATA_0
3581 //{0x098E, 0x6C65, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_LL_ALGO_ENTER]
3582 //{0x0990, 0x00E0, WORD_LEN, 0 },        // MCU_DATA_0
3583
3584
3585
3586 //Noise reduction RN compensation
3587
3588 {0x098E, 0x494B, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_EXT_START_GAIN_METRIC]
3589 {0x0990, 0x0042, WORD_LEN, 0 },      // MCU_DATA_0
3590 {0x098E, 0x494D, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_EXT_STOP_GAIN_METRIC]
3591 {0x0990, 0x012C, WORD_LEN, 0 },      // MCU_DATA_0
3592 {0x098E, 0xC91E, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_START_0]
3593 {0x0990, 0x0012, WORD_LEN, 0 },      // MCU_DATA_0
3594 {0x098E, 0xC91F, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_START_1]
3595 {0x0990, 0x000A, WORD_LEN, 0 },      // MCU_DATA_0
3596 {0x098E, 0xC920, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_START_2]
3597 {0x0990, 0x0012, WORD_LEN, 0 },      // MCU_DATA_0
3598 {0x098E, 0xC921, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_START_3]
3599 {0x0990, 0x000A, WORD_LEN, 0 },      // MCU_DATA_0
3600 {0x098E, 0xC922, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_STOP_0]
3601 {0x0990, 0x0026, WORD_LEN, 0 },      // MCU_DATA_0
3602 {0x098E, 0xC923, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_STOP_1]
3603 {0x0990, 0x001E, WORD_LEN, 0 },      // MCU_DATA_0
3604 {0x098E, 0xC924, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_STOP_2]
3605 {0x0990, 0x0026, WORD_LEN, 0 },      // MCU_DATA_0
3606 {0x098E, 0xC925, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_STOP_3]
3607 {0x0990, 0x0026, WORD_LEN, 0 },      // MCU_DATA_0
3608 {0x316C, 0x350F, WORD_LEN, 0 },      // DAC_TXLO
3609 //Noise Reduction
3610 {0x098E, 0xBC31, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_0]
3611 {0x0990, 0x0000, WORD_LEN, 0 },      // MCU_DATA_0
3612 {0x098E, 0xBC32, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_1]
3613 {0x0990, 0x000D, WORD_LEN, 0 },      // MCU_DATA_0
3614 {0x098E, 0xBC33, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_2]
3615 {0x0990, 0x0019, WORD_LEN, 0 },      // MCU_DATA_0
3616 {0x098E, 0xBC34, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_3]
3617 {0x0990, 0x0030, WORD_LEN, 0 },      // MCU_DATA_0
3618 {0x098E, 0xBC35, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_4]
3619 {0x0990, 0x0056, WORD_LEN, 0 },      // MCU_DATA_0
3620 {0x098E, 0xBC36, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_5]
3621 {0x0990, 0x0070, WORD_LEN, 0 },      // MCU_DATA_0
3622 {0x098E, 0xBC37, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_6]
3623 {0x0990, 0x0081, WORD_LEN, 0 },      // MCU_DATA_0
3624 {0x098E, 0xBC38, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_7]
3625 {0x0990, 0x0090, WORD_LEN, 0 },      // MCU_DATA_0
3626 {0x098E, 0xBC39, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_8]
3627 {0x0990, 0x009E, WORD_LEN, 0 },      // MCU_DATA_0
3628 {0x098E, 0xBC3A, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_9]
3629 {0x0990, 0x00AB, WORD_LEN, 0 },      // MCU_DATA_0
3630 {0x098E, 0xBC3B, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_10]
3631 {0x0990, 0x00B6, WORD_LEN, 0 },      // MCU_DATA_0
3632 {0x098E, 0xBC3C, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_11]
3633 {0x0990, 0x00C1, WORD_LEN, 0 },      // MCU_DATA_0
3634 {0x098E, 0xBC3D, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_12]
3635 {0x0990, 0x00CB, WORD_LEN, 0 },      // MCU_DATA_0
3636 {0x098E, 0xBC3E, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_13]
3637 {0x0990, 0x00D5, WORD_LEN, 0 },      // MCU_DATA_0
3638 {0x098E, 0xBC3F, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_14]
3639 {0x0990, 0x00DE, WORD_LEN, 0 },      // MCU_DATA_0
3640 {0x098E, 0xBC40, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_15]
3641 {0x0990, 0x00E7, WORD_LEN, 0 },      // MCU_DATA_0
3642 {0x098E, 0xBC41, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_16]
3643 {0x0990, 0x00EF, WORD_LEN, 0 },      // MCU_DATA_0
3644 {0x098E, 0xBC42, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_17]
3645 {0x0990, 0x00F7, WORD_LEN, 0 },      // MCU_DATA_0
3646 {0x098E, 0xBC43, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_18]
3647 {0x0990, 0x00FF, WORD_LEN, 0 },      // MCU_DATA_0
3648
3649
3650
3651
3652
3653
3654
3655 //[Defect correction]
3656
3657
3658 {0x098E, 0xBC02, WORD_LEN, 0 },          // MCU_ADDRESS [LL_MODE]
3659 {0x0990, 0x0003, WORD_LEN, 0 },          // MCU_DATA_0
3660 {0x098E, 0x6867, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_RUN]
3661 {0x0990, 0x00F4, WORD_LEN, 0 },          // MCU_DATA_0
3662
3663 {0x098E, 0xBC05, WORD_LEN, 0 },          // MCU_ADDRESS [LL_CLUSTER_DC_TH]
3664 {0x0990, 0x000E, WORD_LEN, 0 },          // MCU_DATA_0
3665
3666 {0x098E, 0x8400, WORD_LEN, 0 },          // MCU_ADDRESS [SEQ_CMD]
3667 {0x0990, 0x0006, WORD_LEN, 0 },          // MCU_DATA_0
3668
3669
3670
3671 //[Position dependent GRB]
3672 {0x098E, 0xC950, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_1]
3673 {0x0990, 0x0064, WORD_LEN, 0 },          // MCU_DATA_0
3674 {0x098E, 0xC94F, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_0]
3675 {0x0990, 0x0038, WORD_LEN, 0 },          // MCU_DATA_0
3676 {0x098E, 0xC952, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_3]
3677 {0x0990, 0x0064, WORD_LEN, 0 },          // MCU_DATA_0
3678 {0x098E, 0xC951, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_2]
3679 {0x0990, 0x0051, WORD_LEN, 0 },          // MCU_DATA_0
3680 {0x098E, 0xC954, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_5]
3681 {0x0990, 0x0010, WORD_LEN, 0 },          // MCU_DATA_0
3682 {0x098E, 0xC953, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_4]
3683 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
3684 {0x098E, 0xC956, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_7]
3685 {0x0990, 0x0010, WORD_LEN, 0 },          // MCU_DATA_0
3686 {0x098E, 0xC955, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_6]
3687 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
3688 {0x098E, 0xC958, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_1]
3689 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
3690 {0x098E, 0xC957, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_0]
3691 {0x0990, 0x0014, WORD_LEN, 0 },          // MCU_DATA_0
3692 {0x098E, 0xC95A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_3]
3693 {0x0990, 0x001D, WORD_LEN, 0 },          // MCU_DATA_0
3694 {0x098E, 0xC959, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_2]
3695 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
3696 {0x098E, 0xC95C, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_5]
3697 {0x0990, 0x000C, WORD_LEN, 0 },          // MCU_DATA_0
3698 {0x098E, 0xC95B, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_4]
3699 {0x0990, 0x0008, WORD_LEN, 0 },          // MCU_DATA_0
3700 {0x098E, 0xC95E, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_7]
3701 {0x0990, 0x000C, WORD_LEN, 0 },          // MCU_DATA_0
3702 {0x098E, 0xC95D, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_6]
3703 {0x0990, 0x0008, WORD_LEN, 0 },          // MCU_DATA_0
3704 {0x098E, 0xC95F, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_WINDOW_PERCENT]
3705 {0x0990, 0x0064, WORD_LEN, 0 },          // MCU_DATA_0
3706
3707
3708 //[dark delta ccm settings]
3709 //[grayscale unity]
3710 {0x098E, 0x48DC, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_0]
3711 {0x0990, 0x004D, WORD_LEN, 0 },          // MCU_DATA_0
3712 {0x098E, 0x48DE, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_1]
3713 {0x0990, 0x0096, WORD_LEN, 0 },          // MCU_DATA_0
3714 {0x098E, 0x48E0, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_2]
3715 {0x0990, 0x001D, WORD_LEN, 0 },          // MCU_DATA_0
3716 {0x098E, 0x48E2, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_3]
3717 {0x0990, 0x004D, WORD_LEN, 0 },          // MCU_DATA_0
3718 {0x098E, 0x48E4, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_4]
3719 {0x0990, 0x0096, WORD_LEN, 0 },          // MCU_DATA_0
3720 {0x098E, 0x48E6, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_5]
3721 {0x0990, 0x001D, WORD_LEN, 0 },          // MCU_DATA_0
3722 {0x098E, 0x48E8, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_6]
3723 {0x0990, 0x004D, WORD_LEN, 0 },          // MCU_DATA_0
3724 {0x098E, 0x48EA, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_7]
3725 {0x0990, 0x0096, WORD_LEN, 0 },          // MCU_DATA_0
3726 {0x098E, 0x48EC, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_8]
3727 {0x0990, 0x001D, WORD_LEN, 0 },          // MCU_DATA_0
3728
3729
3730 //[darkccm_range_rev3]
3731 {0x098E, 0xDC2A, WORD_LEN, 0 },          // MCU_ADDRESS [SYS_DELTA_GAIN]
3732 {0x0990, 0x000B, WORD_LEN, 0 },          // MCU_DATA_0
3733 {0x098E, 0xDC2B, WORD_LEN, 0 },          // MCU_ADDRESS [SYS_DELTA_THRESH]
3734 {0x0990, 0x0017, WORD_LEN, 0 },          // MCU_DATA_0
3735
3736
3737
3738 //[Gamma Correction sRGB]
3739 {0x098E, 0xBC0B, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_0]
3740 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
3741 {0x098E, 0xBC0C, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_1]
3742 {0x0990, 0x001B, WORD_LEN, 0 },          // MCU_DATA_0
3743 {0x098E, 0xBC0D, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_2]
3744 {0x0990, 0x002A, WORD_LEN, 0 },          // MCU_DATA_0
3745 {0x098E, 0xBC0E, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_3]
3746 {0x0990, 0x003E, WORD_LEN, 0 },          // MCU_DATA_0
3747 {0x098E, 0xBC0F, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_4]
3748 {0x0990, 0x005A, WORD_LEN, 0 },          // MCU_DATA_0
3749 {0x098E, 0xBC10, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_5]
3750 {0x0990, 0x0070, WORD_LEN, 0 },          // MCU_DATA_0
3751 {0x098E, 0xBC11, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_6]
3752 {0x0990, 0x0081, WORD_LEN, 0 },          // MCU_DATA_0
3753 {0x098E, 0xBC12, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_7]
3754 {0x0990, 0x0090, WORD_LEN, 0 },          // MCU_DATA_0
3755 {0x098E, 0xBC13, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_8]
3756 {0x0990, 0x009E, WORD_LEN, 0 },          // MCU_DATA_0
3757 {0x098E, 0xBC14, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_9]
3758 {0x0990, 0x00AB, WORD_LEN, 0 },          // MCU_DATA_0
3759 {0x098E, 0xBC15, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_10]
3760 {0x0990, 0x00B6, WORD_LEN, 0 },          // MCU_DATA_0
3761 {0x098E, 0xBC16, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_11]
3762 {0x0990, 0x00C1, WORD_LEN, 0 },          // MCU_DATA_0
3763 {0x098E, 0xBC17, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_12]
3764 {0x0990, 0x00CB, WORD_LEN, 0 },          // MCU_DATA_0
3765 {0x098E, 0xBC18, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_13]
3766 {0x0990, 0x00D5, WORD_LEN, 0 },          // MCU_DATA_0
3767 {0x098E, 0xBC19, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_14]
3768 {0x0990, 0x00DE, WORD_LEN, 0 },          // MCU_DATA_0
3769 {0x098E, 0xBC1A, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_15]
3770 {0x0990, 0x00E7, WORD_LEN, 0 },          // MCU_DATA_0
3771 {0x098E, 0xBC1B, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_16]
3772 {0x0990, 0x00EF, WORD_LEN, 0 },          // MCU_DATA_0
3773 {0x098E, 0xBC1C, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_17]
3774 {0x0990, 0x00F7, WORD_LEN, 0 },          // MCU_DATA_0
3775 {0x098E, 0xBC1D, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_18]
3776 {0x0990, 0x00FF, WORD_LEN, 0 },          // MCU_DATA_0
3777
3778 {0x098E, 0xBC1E, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_0]
3779 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
3780 {0x098E, 0xBC1F, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_1]
3781 {0x0990, 0x001B, WORD_LEN, 0 },          // MCU_DATA_0
3782 {0x098E, 0xBC20, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_2]
3783 {0x0990, 0x002A, WORD_LEN, 0 },          // MCU_DATA_0
3784 {0x098E, 0xBC21, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_3]
3785 {0x0990, 0x003E, WORD_LEN, 0 },          // MCU_DATA_0
3786 {0x098E, 0xBC22, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_4]
3787 {0x0990, 0x005A, WORD_LEN, 0 },          // MCU_DATA_0
3788 {0x098E, 0xBC23, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_5]
3789 {0x0990, 0x0070, WORD_LEN, 0 },          // MCU_DATA_0
3790 {0x098E, 0xBC24, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_6]
3791 {0x0990, 0x0081, WORD_LEN, 0 },          // MCU_DATA_0
3792 {0x098E, 0xBC25, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_7]
3793 {0x0990, 0x0090, WORD_LEN, 0 },          // MCU_DATA_0
3794 {0x098E, 0xBC26, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_8]
3795 {0x0990, 0x009E, WORD_LEN, 0 },          // MCU_DATA_0
3796 {0x098E, 0xBC27, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_9]
3797 {0x0990, 0x00AB, WORD_LEN, 0 },          // MCU_DATA_0
3798 {0x098E, 0xBC28, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_10]
3799 {0x0990, 0x00B6, WORD_LEN, 0 },          // MCU_DATA_0
3800 {0x098E, 0xBC29, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_11]
3801 {0x0990, 0x00C1, WORD_LEN, 0 },          // MCU_DATA_0
3802 {0x098E, 0xBC2A, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_12]
3803 {0x0990, 0x00CB, WORD_LEN, 0 },          // MCU_DATA_0
3804 {0x098E, 0xBC2B, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_13]
3805 {0x0990, 0x00D5, WORD_LEN, 0 },          // MCU_DATA_0
3806 {0x098E, 0xBC2C, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_14]
3807 {0x0990, 0x00DE, WORD_LEN, 0 },          // MCU_DATA_0
3808 {0x098E, 0xBC2D, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_15]
3809 {0x0990, 0x00E7, WORD_LEN, 0 },          // MCU_DATA_0
3810 {0x098E, 0xBC2E, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_16]
3811 {0x0990, 0x00EF, WORD_LEN, 0 },          // MCU_DATA_0
3812 {0x098E, 0xBC2F, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_17]
3813 {0x0990, 0x00F7, WORD_LEN, 0 },          // MCU_DATA_0
3814 {0x098E, 0xBC30, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_18]
3815 {0x0990, 0x00FF, WORD_LEN, 0 },          // MCU_DATA_0
3816
3817 {0x098E, 0xBC31, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_0]
3818 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
3819 {0x098E, 0xBC32, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_1]
3820 {0x0990, 0x000D, WORD_LEN, 0 },          // MCU_DATA_0
3821 {0x098E, 0xBC33, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_2]
3822 {0x0990, 0x0019, WORD_LEN, 0 },          // MCU_DATA_0
3823 {0x098E, 0xBC34, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_3]
3824 {0x0990, 0x0030, WORD_LEN, 0 },          // MCU_DATA_0
3825 {0x098E, 0xBC35, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_4]
3826 {0x0990, 0x0056, WORD_LEN, 0 },          // MCU_DATA_0
3827 {0x098E, 0xBC36, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_5]
3828 {0x0990, 0x0070, WORD_LEN, 0 },          // MCU_DATA_0
3829 {0x098E, 0xBC37, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_6]
3830 {0x0990, 0x0081, WORD_LEN, 0 },          // MCU_DATA_0
3831 {0x098E, 0xBC38, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_7]
3832 {0x0990, 0x0090, WORD_LEN, 0 },          // MCU_DATA_0
3833 {0x098E, 0xBC39, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_8]
3834 {0x0990, 0x009E, WORD_LEN, 0 },          // MCU_DATA_0
3835 {0x098E, 0xBC3A, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_9]
3836 {0x0990, 0x00AB, WORD_LEN, 0 },          // MCU_DATA_0
3837 {0x098E, 0xBC3B, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_10]
3838 {0x0990, 0x00B6, WORD_LEN, 0 },          // MCU_DATA_0
3839 {0x098E, 0xBC3C, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_11]
3840 {0x0990, 0x00C1, WORD_LEN, 0 },          // MCU_DATA_0
3841 {0x098E, 0xBC3D, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_12]
3842 {0x0990, 0x00CB, WORD_LEN, 0 },          // MCU_DATA_0
3843 {0x098E, 0xBC3E, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_13]
3844 {0x0990, 0x00D5, WORD_LEN, 0 },          // MCU_DATA_0
3845 {0x098E, 0xBC3F, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_14]
3846 {0x0990, 0x00DE, WORD_LEN, 0 },          // MCU_DATA_0
3847 {0x098E, 0xBC40, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_15]
3848 {0x0990, 0x00E7, WORD_LEN, 0 },          // MCU_DATA_0
3849 {0x098E, 0xBC41, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_16]
3850 {0x0990, 0x00EF, WORD_LEN, 0 },          // MCU_DATA_0
3851 {0x098E, 0xBC42, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_17]
3852 {0x0990, 0x00F7, WORD_LEN, 0 },          // MCU_DATA_0
3853 {0x098E, 0xBC43, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_18]
3854 {0x0990, 0x00FF, WORD_LEN, 0 },          // MCU_DATA_0
3855
3856
3857
3858 //[TC Initialize]
3859 {0x098E, 0x6865, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_ENTER]
3860 {0x0990, 0x00E0, WORD_LEN, 0 },          // MCU_DATA_0
3861 {0x098E, 0x6867, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_RUN]
3862 {0x0990, 0x00F4, WORD_LEN, 0 },          // MCU_DATA_0
3863
3864 {0x098E, 0x8400, WORD_LEN, 0 },          // MCU_ADDRESS [SEQ_CMD]
3865 {0x0990, 0x0006, WORD_LEN, 0 },          // MCU_DATA_0
3866
3867
3868 {0x098E, 0xBC4A, WORD_LEN, 0 },          // MCU_ADDRESS [LL_TONAL_CURVE_HIGH]
3869 {0x0990, 0x007F, WORD_LEN, 0 },          // MCU_DATA_0
3870 {0x098E, 0xBC4B, WORD_LEN, 0 },          // MCU_ADDRESS [LL_TONAL_CURVE_MED]
3871 {0x0990, 0x007F, WORD_LEN, 0 },          // MCU_DATA_0
3872 {0x098E, 0xBC4C, WORD_LEN, 0 },          // MCU_ADDRESS [LL_TONAL_CURVE_LOW]
3873 {0x0990, 0x007F, WORD_LEN, 0 },          // MCU_DATA_0
3874
3875
3876 //[Total Curve]
3877 {0x3542, 0x0010, WORD_LEN, 0 },         // TONAL_X0
3878 {0x3544, 0x0030, WORD_LEN, 0 },         // TONAL_X1
3879 {0x3546, 0x0040, WORD_LEN, 0 },         // TONAL_X2
3880 {0x3548, 0x0080, WORD_LEN, 0 },         // TONAL_X3
3881 {0x354A, 0x0100, WORD_LEN, 0 },         // TONAL_X4
3882 {0x354C, 0x0200, WORD_LEN, 0 },         // TONAL_X5
3883 {0x354E, 0x0300, WORD_LEN, 0 },         // TONAL_X6
3884 {0x3550, 0x0010, WORD_LEN, 0 },         // TONAL_Y0
3885 {0x3552, 0x0030, WORD_LEN, 0 },         // TONAL_Y1
3886 {0x3554, 0x0040, WORD_LEN, 0 },         // TONAL_Y2
3887 {0x3556, 0x0080, WORD_LEN, 0 },         // TONAL_Y3
3888 {0x3558, 0x0100, WORD_LEN, 0 },         // TONAL_Y4
3889 {0x355A, 0x0200, WORD_LEN, 0 },         // TONAL_Y5
3890 {0x355C, 0x0300, WORD_LEN, 0 },         // TONAL_Y6
3891 {0x3560, 0x0040, WORD_LEN, 0 },         // RECIPROCAL_OF_X0_MINUS_ZERO
3892 {0x3562, 0x0020, WORD_LEN, 0 },         // RECIPROCAL_OF_X1_MINUS_X0
3893 {0x3564, 0x0040, WORD_LEN, 0 },         // RECIPROCAL_OF_X2_MINUS_X1
3894 {0x3566, 0x0010, WORD_LEN, 0 },         // RECIPROCAL_OF_X3_MINUS_X2
3895 {0x3568, 0x0008, WORD_LEN, 0 },         // RECIPROCAL_OF_X4_MINUS_X3
3896 {0x356A, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_X5_MINUS_X4
3897 {0x356C, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_X6_MINUS_X5
3898 {0x356E, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_400_MINUS_X6
3899
3900 //{0x3540, 0x0001, WORD_LEN, 0 },       // ENABLE_TONAL_CURVE
3901
3902 {0x098E, 0xBC08, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_SELECT]
3903 {0x0990, 0x0001, WORD_LEN, 0 },         // MCU_DATA_0 //0000
3904
3905
3906 //[Fade To Black]
3907 {0x098E, 0x3C4D, WORD_LEN, 0 },          // MCU_ADDRESS [LL_START_GAMMA_FTB]
3908 {0x0990, 0x0DAC, WORD_LEN, 0 },          // MCU_DATA_0
3909 {0x098E, 0x3C4F, WORD_LEN, 0 },          // MCU_ADDRESS [LL_STOP_GAMMA_FTB]
3910 {0x0990, 0x148A, WORD_LEN, 0 },          // MCU_DATA_0
3911
3912 //[awb_stat]
3913 {0x098E, 0xC911, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_STAT_LUMA_THRESH_HIGH]
3914 {0x0990, 0x00C8, WORD_LEN, 0 },          // MCU_DATA_0
3915
3916
3917 //[K26A Rev3 Largan798a weight table]
3918 {0x098E, 0xC8F4, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_XSCALE]
3919 {0x0990, 0x0004, WORD_LEN, 0 },          // MCU_DATA_0
3920 {0x098E, 0xC8F5, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_YSCALE]
3921 {0x0990, 0x0002, WORD_LEN, 0 },          // MCU_DATA_0
3922 {0x098E, 0x48F6, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_0]
3923 {0x0990, 0x3B4D, WORD_LEN, 0 },          // MCU_DATA_0
3924 {0x098E, 0x48F8, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_1]
3925 {0x0990, 0x6380, WORD_LEN, 0 },          // MCU_DATA_0
3926 {0x098E, 0x48FA, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_2]
3927 {0x0990, 0x9B18, WORD_LEN, 0 },          // MCU_DATA_0
3928 {0x098E, 0x48FC, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_3]
3929 {0x0990, 0x5D51, WORD_LEN, 0 },          // MCU_DATA_0
3930 {0x098E, 0x48FE, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_4]
3931 {0x0990, 0xEDE8, WORD_LEN, 0 },          // MCU_DATA_0
3932 {0x098E, 0x4900, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_5]
3933 {0x0990, 0xE515, WORD_LEN, 0 },          // MCU_DATA_0
3934 {0x098E, 0x4902, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_6]
3935 {0x0990, 0xBFF4, WORD_LEN, 0 },          // MCU_DATA_0
3936 {0x098E, 0x4904, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_7]
3937 {0x0990, 0x001E, WORD_LEN, 0 },          // MCU_DATA_0
3938 {0x098E, 0x4906, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_XSHIFT_PRE_ADJ]
3939 {0x0990, 0x0026, WORD_LEN, 0 },          // MCU_DATA_0
3940 {0x098E, 0x4908, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_YSHIFT_PRE_ADJ]
3941 {0x0990, 0x0033, WORD_LEN, 0 },          // MCU_DATA_0
3942
3943 //[AWB_CCM Natural]
3944
3945 {0x098E, 0x48B0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
3946 {0x0990, 0x0180, WORD_LEN, 0 },         // MCU_DATA_0 
3947 {0x098E, 0x48B2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
3948 {0x0990, 0xFF7A, WORD_LEN, 0 },         // MCU_DATA_0 
3949 {0x098E, 0x48B4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
3950 {0x0990, 0x0018, WORD_LEN, 0 },         // MCU_DATA_0 
3951 {0x098E, 0x48B6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
3952 {0x0990, 0xFFCA, WORD_LEN, 0 },         // MCU_DATA_0 
3953 {0x098E, 0x48B8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
3954 {0x0990, 0x017C, WORD_LEN, 0 },         // MCU_DATA_0 
3955 {0x098E, 0x48BA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
3956 {0x0990, 0xFFCC, WORD_LEN, 0 },         // MCU_DATA_0 
3957 {0x098E, 0x48BC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
3958 {0x0990, 0x000C, WORD_LEN, 0 },         // MCU_DATA_0 
3959 {0x098E, 0x48BE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
3960 {0x0990, 0xFF1F, WORD_LEN, 0 },         // MCU_DATA_0 
3961 {0x098E, 0x48C0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
3962 {0x0990, 0x01E8, WORD_LEN, 0 },         // MCU_DATA_0 
3963 {0x098E, 0x48C2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_9]
3964 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0 
3965 {0x098E, 0x48C4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_10]
3966 {0x0990, 0x0044, WORD_LEN, 0 },         // MCU_DATA_0 
3967 {0x098E, 0x48C6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
3968 {0x0990, 0x0079, WORD_LEN, 0 },         // MCU_DATA_0 
3969 {0x098E, 0x48C8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
3970 {0x0990, 0xFFAD, WORD_LEN, 0 },         // MCU_DATA_0 
3971 {0x098E, 0x48CA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
3972 {0x0990, 0xFFE2, WORD_LEN, 0 },         // MCU_DATA_0 
3973 {0x098E, 0x48CC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
3974 {0x0990, 0x0033, WORD_LEN, 0 },         // MCU_DATA_0 
3975 {0x098E, 0x48CE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
3976 {0x0990, 0x002A, WORD_LEN, 0 },         // MCU_DATA_0 
3977 {0x098E, 0x48D0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
3978 {0x0990, 0xFFAA, WORD_LEN, 0 },         // MCU_DATA_0 
3979 {0x098E, 0x48D2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
3980 {0x0990, 0x0017, WORD_LEN, 0 },         // MCU_DATA_0 
3981 {0x098E, 0x48D4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
3982 {0x0990, 0x004B, WORD_LEN, 0 },         // MCU_DATA_0 
3983 {0x098E, 0x48D6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
3984 {0x0990, 0xFFA5, WORD_LEN, 0 },         // MCU_DATA_0 
3985 {0x098E, 0x48D8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_9]
3986 {0x0990, 0x0015, WORD_LEN, 0 },         // MCU_DATA_0 
3987 {0x098E, 0x48DA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_10]
3988 {0x0990, 0xFFE2, WORD_LEN, 0 },         // MCU_DATA_0 
3989
3990 {0x098E, 0xE84A, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_L]
3991 {0x0990, 0x0083, WORD_LEN, 0 },         // MCU_DATA_0
3992 //{0x098E, 0xE84B, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_G_L]
3993 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
3994 {0x098E, 0xE84C, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_L]
3995 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
3996 {0x098E, 0xE84D, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_R]
3997 {0x0990, 0x0083, WORD_LEN, 0 },         // MCU_DATA_0
3998 //{0x098E, 0xE84E, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_G_R]
3999 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4000 {0x098E, 0xE84F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_R]
4001 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4002
4003 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_R]
4004 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
4005
4006 /*
4007 {0x098E, 0x48B0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
4008 {0x0990, 0x015F, WORD_LEN, 0 },         // MCU_DATA_0
4009 {0x098E, 0x48B2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
4010 {0x0990, 0xFFF8, WORD_LEN, 0 },         // MCU_DATA_0
4011 {0x098E, 0x48B4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
4012 {0x0990, 0x001A, WORD_LEN, 0 },         // MCU_DATA_0
4013 {0x098E, 0x48B6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
4014 {0x0990, 0xFFBA, WORD_LEN, 0 },         // MCU_DATA_0
4015 {0x098E, 0x48B8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
4016 {0x0990, 0x0171, WORD_LEN, 0 },         // MCU_DATA_0
4017 {0x098E, 0x48BA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
4018 {0x0990, 0xFFD0, WORD_LEN, 0 },         // MCU_DATA_0
4019 {0x098E, 0x48BC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
4020 {0x0990, 0x0023, WORD_LEN, 0 },         // MCU_DATA_0
4021 {0x098E, 0x48BE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
4022 {0x0990, 0xFF71, WORD_LEN, 0 },         // MCU_DATA_0
4023 {0x098E, 0x48C0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
4024 {0x0990, 0x0185, WORD_LEN, 0 },         // MCU_DATA_0
4025 {0x098E, 0x48C2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_9]
4026 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
4027 {0x098E, 0x48C4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_10]
4028 {0x0990, 0x0050, WORD_LEN, 0 },         // MCU_DATA_0
4029
4030 {0x098E, 0x48C6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
4031 {0x0990, 0xFEA1, WORD_LEN, 0 },         // MCU_DATA_0
4032 {0x098E, 0x48C8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
4033 {0x0990, 0x0008, WORD_LEN, 0 },         // MCU_DATA_0
4034 {0x098E, 0x48CA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
4035 {0x0990, 0xFFE6, WORD_LEN, 0 },         // MCU_DATA_0
4036 {0x098E, 0x48CC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
4037 {0x0990, 0x0046, WORD_LEN, 0 },         // MCU_DATA_0
4038 {0x098E, 0x48CE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
4039 {0x0990, 0xFE8F, WORD_LEN, 0 },         // MCU_DATA_0
4040 {0x098E, 0x48D0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
4041 {0x0990, 0x0030, WORD_LEN, 0 },         // MCU_DATA_0
4042 {0x098E, 0x48D2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
4043 {0x0990, 0xFFDD, WORD_LEN, 0 },         // MCU_DATA_0
4044 {0x098E, 0x48D4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
4045 {0x0990, 0x008F, WORD_LEN, 0 },         // MCU_DATA_0
4046 {0x098E, 0x48D6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
4047 {0x0990, 0xFE7B, WORD_LEN, 0 },         // MCU_DATA_0
4048 {0x098E, 0x48D8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_9]
4049 {0x0990, 0xFFE0, WORD_LEN, 0 },         // MCU_DATA_0
4050 {0x098E, 0x48DA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_10]
4051 {0x0990, 0xFFB0, WORD_LEN, 0 },         // MCU_DATA_0
4052
4053
4054 {0x098E, 0xE84A, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_L]
4055 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4056 {0x098E, 0xE84B, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_G_L]
4057 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4058 {0x098E, 0xE84C, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_L]
4059 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4060 {0x098E, 0xE84D, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_R]
4061 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4062 {0x098E, 0xE84E, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_G_R]
4063 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4064 {0x098E, 0xE84F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_R]
4065 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4066 */
4067 //{0x098E, 0xC8EE, WORD_LEN, 0 },       // MCU_ADDRESS [CAM1_AWB_DGAIN_MIN_R]
4068 //{0x0990, 0x0064, WORD_LEN, 0 },       // MCU_DATA_0
4069 //{0x098E, 0xC8EF, WORD_LEN, 0 },       // MCU_ADDRESS [CAM1_AWB_DGAIN_MAX_R]
4070 //{0x0990, 0x0096, WORD_LEN, 0 },       // MCU_DATA_0
4071 //{0x098E, 0xC8F0, WORD_LEN, 0 },       // MCU_ADDRESS [CAM1_AWB_DGAIN_MIN_B]
4072 //{0x0990, 0x0064, WORD_LEN, 0 },       // MCU_DATA_0
4073 //{0x098E, 0xC8F1, WORD_LEN, 0 },       // MCU_ADDRESS [CAM1_AWB_DGAIN_MAX_B]
4074 //{0x0990, 0x00DC, WORD_LEN, 0 },       // MCU_DATA_0
4075
4076
4077
4078 //{0x098E, 0xEC4A, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_R_L]
4079 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4080 //{0x098E, 0xEC4B, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_G_L]
4081 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4082 //{0x098E, 0xEC4C, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_B_L]
4083 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4084 //{0x098E, 0xEC4D, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_R_R]
4085 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4086 //{0x098E, 0xEC4E, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_G_R]
4087 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4088 //{0x098E, 0xEC4F, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_B_R]
4089 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4090
4091 //[Saturation]
4092 {0x35A2, 0x0014, WORD_LEN, 0 },          // DARK_COLOR_KILL_CONTROLS
4093 {0x098E, 0xC949, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_SYS_DARK_COLOR_KILL]
4094 {0x0990, 0x0024, WORD_LEN, 0 },          // MCU_DATA_0
4095 {0x35A4, 0x0596, WORD_LEN, 0 },          // BRIGHT_COLOR_KILL_CONTROLS
4096 {0x098E, 0xC94A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_SYS_BRIGHT_COLORKILL]
4097 {0x0990, 0x0062, WORD_LEN, 0 },          // MCU_DATA_0
4098 {0x098E, 0xC948, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_SYS_UV_COLOR_BOOST]
4099 {0x0990, 0x0006, WORD_LEN, 0 },          // MCU_DATA_0
4100 {0x098E, 0xC914, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_START_DESATURATION]
4101 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
4102 {0x098E, 0xC915, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_END_DESATURATION]
4103 {0x0990, 0x00FF, WORD_LEN, 0 },          // MCU_DATA_0
4104 {0x098E, 0xE86F, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_START_SATURATION]
4105 {0x0990, 0x0060, WORD_LEN, 0 },          // MCU_DATA_0
4106 {0x098E, 0xE870, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_END_SATURATION]
4107 {0x0990, 0x003C, WORD_LEN, 0 },          // MCU_DATA_0
4108 {0x098E, 0xEC6F, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_LL_START_SATURATION]
4109 {0x0990, 0x0060, WORD_LEN, 0 },          // MCU_DATA_0
4110 {0x098E, 0xEC70, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_LL_END_SATURATION]
4111 {0x0990, 0x003C, WORD_LEN, 0 },          // MCU_DATA_0
4112
4113 //[No Special Effects]
4114 {0x098E, 0xE883, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
4115 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
4116 {0x098E, 0xEC83, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
4117 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
4118
4119 {0x098E, 0x8400, WORD_LEN, 0 },          // MCU_ADDRESS [SEQ_CMD]
4120 {0x0990, 0x0006, WORD_LEN, 0 },          // MCU_DATA_0
4121
4122 {0x098E, 0xE885, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
4123 {0x0990, 0x001E, WORD_LEN, 0 },          // MCU_DATA_0
4124 {0x098E, 0xE886, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
4125 {0x0990, 0x00D8, WORD_LEN, 0 },          // MCU_DATA_0
4126 {0x098E, 0xEC85, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
4127 {0x0990, 0x001E, WORD_LEN, 0 },          // MCU_DATA_0
4128 {0x098E, 0xEC86, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
4129 {0x0990, 0x00D8, WORD_LEN, 0 },          // MCU_DATA_0
4130 {0x098E, 0xE884, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SOLARIZATION_TH]
4131 {0x0990, 0x005C, WORD_LEN, 0 },          // MCU_DATA_0
4132 {0x098E, 0xEC84, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SOLARIZATION_TH]
4133 {0x0990, 0x005C, WORD_LEN, 0 },          // MCU_DATA_0
4134
4135
4136 //[AS Normal]
4137 {0x098E, 0x490A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AS_INTEG_SCALE_FIRST_PASS]
4138 {0x0990, 0x0666, WORD_LEN, 0 },          // MCU_DATA_0
4139 {0x098E, 0x490C, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AS_MIN_INT_TIME_FIRST_PASS]
4140 {0x0990, 0x0140, WORD_LEN, 0 },          // MCU_DATA_0
4141 {0x098E, 0x6857, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_IS_FEATURE_THRESHOLD]
4142 {0x0990, 0x0014, WORD_LEN, 0 },          // MCU_DATA_0
4143 {0x098E, 0x685C, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_IS_BLUR_INPUT_PARAMETER]
4144 {0x0990, 0x0005, WORD_LEN, 0 },          // MCU_DATA_0
4145 {0x098E, 0x490E, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AS_MAX_DIGITAL_GAIN_ALLOWED]
4146 {0x0990, 0x00A4, WORD_LEN, 0 },          // MCU_DATA_0
4147 {0x098E, 0xB43D, WORD_LEN, 0 },          // MCU_ADDRESS [AS_START_ASVALUES_0]
4148 {0x0990, 0x0031, WORD_LEN, 0 },          // MCU_DATA_0
4149 {0x098E, 0xB43E, WORD_LEN, 0 },          // MCU_ADDRESS [AS_START_ASVALUES_1]
4150 {0x0990, 0x001B, WORD_LEN, 0 },          // MCU_DATA_0
4151 {0x098E, 0xB43F, WORD_LEN, 0 },          // MCU_ADDRESS [AS_START_ASVALUES_2]
4152 {0x0990, 0x0028, WORD_LEN, 0 },          // MCU_DATA_0
4153 {0x098E, 0xB440, WORD_LEN, 0 },          // MCU_ADDRESS [AS_START_ASVALUES_3]
4154 {0x0990, 0x0003, WORD_LEN, 0 },          // MCU_DATA_0
4155 {0x098E, 0xB441, WORD_LEN, 0 },          // MCU_ADDRESS [AS_STOP_ASVALUES_0]
4156 {0x0990, 0x00CD, WORD_LEN, 0 },          // MCU_DATA_0
4157 {0x098E, 0xB442, WORD_LEN, 0 },          // MCU_ADDRESS [AS_STOP_ASVALUES_1]
4158 {0x0990, 0x0064, WORD_LEN, 0 },          // MCU_DATA_0
4159 {0x098E, 0xB443, WORD_LEN, 0 },          // MCU_ADDRESS [AS_STOP_ASVALUES_2]
4160 {0x0990, 0x000F, WORD_LEN, 0 },          // MCU_DATA_0
4161 {0x098E, 0xB444, WORD_LEN, 0 },          // MCU_ADDRESS [AS_STOP_ASVALUES_3]
4162 {0x0990, 0x0007, WORD_LEN, 0 },          // MCU_DATA_0
4163
4164
4165 //[Auto focus settings]
4166 /*{0x098E, 0x300D, WORD_LEN, 0 },        // MCU_ADDRESS [AF_FILTERS]
4167 {0x0990, 0x000F, WORD_LEN, 0 },          // MCU_DATA_0
4168 {0x098E, 0x3017, WORD_LEN, 0 },          // MCU_ADDRESS [AF_THRESHOLDS]
4169 {0x0990, 0x0F0F, WORD_LEN, 0 },          // MCU_DATA_0
4170
4171 {0x098E, 0x8400, WORD_LEN, 0 },          // MCU_ADDRESS [SEQ_CMD]
4172 {0x0990, 0x0006, WORD_LEN, 0 },          // MCU_DATA_0
4173
4174 {0x098E, 0xE81F, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
4175 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
4176 */
4177
4178 //[High Speed Overrides]
4179 {0x098E, 0x68A0, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
4180 {0x0990, 0x082E, WORD_LEN, 0 },          // MCU_DATA_0
4181 {0x098E, 0x6CA0, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
4182 {0x0990, 0x082E, WORD_LEN, 0 },          // MCU_DATA_0
4183 {0x098E, 0x70A0, WORD_LEN, 0 },          // MCU_ADDRESS [SEC_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
4184 {0x0990, 0x082E, WORD_LEN, 0 },          // MCU_DATA_0
4185 {0x098E, 0x74A0, WORD_LEN, 0 },          // MCU_ADDRESS [SEC_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
4186 {0x0990, 0x082E, WORD_LEN, 0 },          // MCU_DATA_0
4187 {0x3C52, 0x082E, WORD_LEN, 0 },          // RESERVED_TX_SS_3C52
4188 {0x098E, 0x488E, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_CTX_B_RX_FIFO_TRIGGER_MARK]
4189 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
4190 {0x098E, 0xECAC, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_IO_OB_MANUAL_FLAG]
4191 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
4192
4193
4194
4195
4196 //[VAA_LOW_POWER]
4197 {0x3084, 0x2409, WORD_LEN, 0 },          // RESERVED_CORE_3084
4198 {0x3092, 0x0A49, WORD_LEN, 0 },          // RESERVED_CORE_3092
4199 {0x3094, 0x4949, WORD_LEN, 0 },          // RESERVED_CORE_3094
4200 {0x3096, 0x4950, WORD_LEN, 0 },          // RESERVED_CORE_3096
4201
4202
4203 //[Hot Pixels]
4204 {0x316C, 0x350F, WORD_LEN, 0 },          // RESERVED_CORE_316C
4205
4206
4207 //patch rev3
4208 {0x0982, 0x0000, WORD_LEN, 0 },         // ACCESS_CTL_STAT
4209 {0x098A, 0x0CFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4210 {0x0990, 0x3C3C, WORD_LEN, 0 }, 
4211 {0x0992, 0x3C3C, WORD_LEN, 0 }, 
4212 {0x0994, 0x3C3C, WORD_LEN, 0 }, 
4213 {0x0996, 0x5F4F, WORD_LEN, 0 }, 
4214 {0x0998, 0x30ED, WORD_LEN, 0 }, 
4215 {0x099A, 0x0AED, WORD_LEN, 0 }, 
4216 {0x099C, 0x08BD, WORD_LEN, 0 }, 
4217 {0x099E, 0x61D5, WORD_LEN, 0 }, 
4218 {0x098A, 0x0D0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4219 {0x0990, 0xCE04, WORD_LEN, 0 }, 
4220 {0x0992, 0xCD1F, WORD_LEN, 0 }, 
4221 {0x0994, 0x1702, WORD_LEN, 0 }, 
4222 {0x0996, 0x11CC, WORD_LEN, 0 }, 
4223 {0x0998, 0x332E, WORD_LEN, 0 }, 
4224 {0x099A, 0x30ED, WORD_LEN, 0 }, 
4225 {0x099C, 0x02CC, WORD_LEN, 0 }, 
4226 {0x099E, 0xFFFD, WORD_LEN, 0 }, 
4227 {0x098A, 0x0D1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4228 {0x0990, 0xED00, WORD_LEN, 0 }, 
4229 {0x0992, 0xCC00, WORD_LEN, 0 }, 
4230 {0x0994, 0x02BD, WORD_LEN, 0 }, 
4231 {0x0996, 0x706D, WORD_LEN, 0 }, 
4232 {0x0998, 0x18DE, WORD_LEN, 0 }, 
4233 {0x099A, 0x1F18, WORD_LEN, 0 }, 
4234 {0x099C, 0x1F8E, WORD_LEN, 0 }, 
4235 {0x099E, 0x0110, WORD_LEN, 0 }, 
4236 {0x098A, 0x0D2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4237 {0x0990, 0xCC3C, WORD_LEN, 0 }, 
4238 {0x0992, 0x5230, WORD_LEN, 0 }, 
4239 {0x0994, 0xED00, WORD_LEN, 0 }, 
4240 {0x0996, 0x18EC, WORD_LEN, 0 }, 
4241 {0x0998, 0xA0C4, WORD_LEN, 0 }, 
4242 {0x099A, 0xFDBD, WORD_LEN, 0 }, 
4243 {0x099C, 0x7021, WORD_LEN, 0 }, 
4244 {0x099E, 0x201E, WORD_LEN, 0 }, 
4245 {0x098A, 0x0D3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4246 {0x0990, 0xCC3C, WORD_LEN, 0 }, 
4247 {0x0992, 0x5230, WORD_LEN, 0 }, 
4248 {0x0994, 0xED00, WORD_LEN, 0 }, 
4249 {0x0996, 0xDE1F, WORD_LEN, 0 }, 
4250 {0x0998, 0xECA0, WORD_LEN, 0 }, 
4251 {0x099A, 0xBD70, WORD_LEN, 0 }, 
4252 {0x099C, 0x21CC, WORD_LEN, 0 }, 
4253 {0x099E, 0x3C52, WORD_LEN, 0 }, 
4254 {0x098A, 0x0D4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4255 {0x0990, 0x30ED, WORD_LEN, 0 }, 
4256 {0x0992, 0x02CC, WORD_LEN, 0 }, 
4257 {0x0994, 0xFFFC, WORD_LEN, 0 }, 
4258 {0x0996, 0xED00, WORD_LEN, 0 }, 
4259 {0x0998, 0xCC00, WORD_LEN, 0 }, 
4260 {0x099A, 0x02BD, WORD_LEN, 0 }, 
4261 {0x099C, 0x706D, WORD_LEN, 0 }, 
4262 {0x099E, 0xFC04, WORD_LEN, 0 }, 
4263 {0x098A, 0x0D5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4264 {0x0990, 0xE11A, WORD_LEN, 0 }, 
4265 {0x0992, 0x8300, WORD_LEN, 0 }, 
4266 {0x0994, 0x0127, WORD_LEN, 0 }, 
4267 {0x0996, 0x201A, WORD_LEN, 0 }, 
4268 {0x0998, 0x8300, WORD_LEN, 0 }, 
4269 {0x099A, 0x0427, WORD_LEN, 0 }, 
4270 {0x099C, 0x221A, WORD_LEN, 0 }, 
4271 {0x099E, 0x8300, WORD_LEN, 0 }, 
4272 {0x098A, 0x0D6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4273 {0x0990, 0x0827, WORD_LEN, 0 }, 
4274 {0x0992, 0x241A, WORD_LEN, 0 }, 
4275 {0x0994, 0x8300, WORD_LEN, 0 }, 
4276 {0x0996, 0x1027, WORD_LEN, 0 }, 
4277 {0x0998, 0x261A, WORD_LEN, 0 }, 
4278 {0x099A, 0x8300, WORD_LEN, 0 }, 
4279 {0x099C, 0x2027, WORD_LEN, 0 }, 
4280 {0x099E, 0x281A, WORD_LEN, 0 }, 
4281 {0x098A, 0x0D7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4282 {0x0990, 0x8300, WORD_LEN, 0 }, 
4283 {0x0992, 0x4027, WORD_LEN, 0 }, 
4284 {0x0994, 0x2A20, WORD_LEN, 0 }, 
4285 {0x0996, 0x2ECC, WORD_LEN, 0 }, 
4286 {0x0998, 0x001E, WORD_LEN, 0 }, 
4287 {0x099A, 0x30ED, WORD_LEN, 0 }, 
4288 {0x099C, 0x0A20, WORD_LEN, 0 }, 
4289 {0x099E, 0x26CC, WORD_LEN, 0 }, 
4290 {0x098A, 0x0D8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4291 {0x0990, 0x0022, WORD_LEN, 0 }, 
4292 {0x0992, 0x30ED, WORD_LEN, 0 }, 
4293 {0x0994, 0x0A20, WORD_LEN, 0 }, 
4294 {0x0996, 0x1ECC, WORD_LEN, 0 }, 
4295 {0x0998, 0x0021, WORD_LEN, 0 }, 
4296 {0x099A, 0x30ED, WORD_LEN, 0 }, 
4297 {0x099C, 0x0A20, WORD_LEN, 0 }, 
4298 {0x099E, 0x16CC, WORD_LEN, 0 }, 
4299 {0x098A, 0x0D9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4300 {0x0990, 0x0020, WORD_LEN, 0 }, 
4301 {0x0992, 0x30ED, WORD_LEN, 0 }, 
4302 {0x0994, 0x0A20, WORD_LEN, 0 }, 
4303 {0x0996, 0x0ECC, WORD_LEN, 0 }, 
4304 {0x0998, 0x002A, WORD_LEN, 0 }, 
4305 {0x099A, 0x30ED, WORD_LEN, 0 }, 
4306 {0x099C, 0x0A20, WORD_LEN, 0 }, 
4307 {0x099E, 0x06CC, WORD_LEN, 0 }, 
4308 {0x098A, 0x0DAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4309 {0x0990, 0x002B, WORD_LEN, 0 }, 
4310 {0x0992, 0x30ED, WORD_LEN, 0 }, 
4311 {0x0994, 0x0ACC, WORD_LEN, 0 }, 
4312 {0x0996, 0x3400, WORD_LEN, 0 }, 
4313 {0x0998, 0x30ED, WORD_LEN, 0 }, 
4314 {0x099A, 0x0034, WORD_LEN, 0 }, 
4315 {0x099C, 0xBD6F, WORD_LEN, 0 }, 
4316 {0x099E, 0xD184, WORD_LEN, 0 }, 
4317 {0x098A, 0x0DBB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4318 {0x0990, 0x0330, WORD_LEN, 0 }, 
4319 {0x0992, 0xED07, WORD_LEN, 0 }, 
4320 {0x0994, 0xA60C, WORD_LEN, 0 }, 
4321 {0x0996, 0x4848, WORD_LEN, 0 }, 
4322 {0x0998, 0x5FED, WORD_LEN, 0 }, 
4323 {0x099A, 0x05EC, WORD_LEN, 0 }, 
4324 {0x099C, 0x07EA, WORD_LEN, 0 }, 
4325 {0x099E, 0x06AA, WORD_LEN, 0 }, 
4326 {0x098A, 0x0DCB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4327 {0x0990, 0x0531, WORD_LEN, 0 }, 
4328 {0x0992, 0xBD70, WORD_LEN, 0 }, 
4329 {0x0994, 0x21DE, WORD_LEN, 0 }, 
4330 {0x0996, 0x1F1F, WORD_LEN, 0 }, 
4331 {0x0998, 0x8E01, WORD_LEN, 0 }, 
4332 {0x099A, 0x08EC, WORD_LEN, 0 }, 
4333 {0x099C, 0x9B05, WORD_LEN, 0 }, 
4334 {0x099E, 0x30ED, WORD_LEN, 0 }, 
4335 {0x098A, 0x0DDB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4336 {0x0990, 0x0820, WORD_LEN, 0 }, 
4337 {0x0992, 0x3BDE, WORD_LEN, 0 }, 
4338 {0x0994, 0x1FEC, WORD_LEN, 0 }, 
4339 {0x0996, 0x0783, WORD_LEN, 0 }, 
4340 {0x0998, 0x0040, WORD_LEN, 0 }, 
4341 {0x099A, 0x2628, WORD_LEN, 0 }, 
4342 {0x099C, 0x7F30, WORD_LEN, 0 }, 
4343 {0x099E, 0xC4CC, WORD_LEN, 0 }, 
4344 {0x098A, 0x0DEB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4345 {0x0990, 0x3C68, WORD_LEN, 0 }, 
4346 {0x0992, 0xBD6F, WORD_LEN, 0 }, 
4347 {0x0994, 0xD1FD, WORD_LEN, 0 }, 
4348 {0x0996, 0x30C5, WORD_LEN, 0 }, 
4349 {0x0998, 0xCC01, WORD_LEN, 0 }, 
4350 {0x099A, 0xF4FD, WORD_LEN, 0 }, 
4351 {0x099C, 0x30C7, WORD_LEN, 0 }, 
4352 {0x099E, 0xC640, WORD_LEN, 0 }, 
4353 {0x098A, 0x0DFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4354 {0x0990, 0xF730, WORD_LEN, 0 }, 
4355 {0x0992, 0xC4CC, WORD_LEN, 0 }, 
4356 {0x0994, 0x0190, WORD_LEN, 0 }, 
4357 {0x0996, 0xFD30, WORD_LEN, 0 }, 
4358 {0x0998, 0xC501, WORD_LEN, 0 }, 
4359 {0x099A, 0x0101, WORD_LEN, 0 }, 
4360 {0x099C, 0xFC30, WORD_LEN, 0 }, 
4361 {0x099E, 0xC230, WORD_LEN, 0 }, 
4362 {0x098A, 0x0E0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4363 {0x0990, 0xED08, WORD_LEN, 0 }, 
4364 {0x0992, 0x200A, WORD_LEN, 0 }, 
4365 {0x0994, 0xCC3C, WORD_LEN, 0 }, 
4366 {0x0996, 0x68BD, WORD_LEN, 0 }, 
4367 {0x0998, 0x6FD1, WORD_LEN, 0 }, 
4368 {0x099A, 0x0530, WORD_LEN, 0 }, 
4369 {0x099C, 0xED08, WORD_LEN, 0 }, 
4370 {0x099E, 0xCC34, WORD_LEN, 0 }, 
4371 {0x098A, 0x0E1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4372 {0x0990, 0x08ED, WORD_LEN, 0 }, 
4373 {0x0992, 0x00EC, WORD_LEN, 0 }, 
4374 {0x0994, 0x08BD, WORD_LEN, 0 }, 
4375 {0x0996, 0x7021, WORD_LEN, 0 }, 
4376 {0x0998, 0x30C6, WORD_LEN, 0 }, 
4377 {0x099A, 0x0C3A, WORD_LEN, 0 }, 
4378 {0x099C, 0x3539, WORD_LEN, 0 }, 
4379 {0x099E, 0x373C, WORD_LEN, 0 }, 
4380 {0x098A, 0x0E2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4381 {0x0990, 0x3C3C, WORD_LEN, 0 }, 
4382 {0x0992, 0x34DE, WORD_LEN, 0 }, 
4383 {0x0994, 0x2FEE, WORD_LEN, 0 }, 
4384 {0x0996, 0x0EAD, WORD_LEN, 0 }, 
4385 {0x0998, 0x007D, WORD_LEN, 0 }, 
4386 {0x099A, 0x13EF, WORD_LEN, 0 }, 
4387 {0x099C, 0x277C, WORD_LEN, 0 }, 
4388 {0x099E, 0xCE13, WORD_LEN, 0 }, 
4389 {0x098A, 0x0E3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4390 {0x0990, 0xE01E, WORD_LEN, 0 }, 
4391 {0x0992, 0x0510, WORD_LEN, 0 }, 
4392 {0x0994, 0x60E6, WORD_LEN, 0 }, 
4393 {0x0996, 0x0E4F, WORD_LEN, 0 }, 
4394 {0x0998, 0xC313, WORD_LEN, 0 }, 
4395 {0x099A, 0xF08F, WORD_LEN, 0 }, 
4396 {0x099C, 0xE600, WORD_LEN, 0 }, 
4397 {0x099E, 0x30E1, WORD_LEN, 0 }, 
4398 {0x098A, 0x0E4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4399 {0x0990, 0x0722, WORD_LEN, 0 }, 
4400 {0x0992, 0x16F6, WORD_LEN, 0 }, 
4401 {0x0994, 0x13EE, WORD_LEN, 0 }, 
4402 {0x0996, 0x4FC3, WORD_LEN, 0 }, 
4403 {0x0998, 0x13F3, WORD_LEN, 0 }, 
4404 {0x099A, 0x8FE6, WORD_LEN, 0 }, 
4405 {0x099C, 0x0030, WORD_LEN, 0 }, 
4406 {0x099E, 0xE107, WORD_LEN, 0 }, 
4407 {0x098A, 0x0E5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4408 {0x0990, 0x2507, WORD_LEN, 0 }, 
4409 {0x0992, 0xF613, WORD_LEN, 0 }, 
4410 {0x0994, 0xEEC1, WORD_LEN, 0 }, 
4411 {0x0996, 0x0325, WORD_LEN, 0 }, 
4412 {0x0998, 0x3C7F, WORD_LEN, 0 }, 
4413 {0x099A, 0x13EE, WORD_LEN, 0 }, 
4414 {0x099C, 0xF613, WORD_LEN, 0 }, 
4415 {0x099E, 0xEFE7, WORD_LEN, 0 }, 
4416 {0x098A, 0x0E6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4417 {0x0990, 0x06CC, WORD_LEN, 0 }, 
4418 {0x0992, 0x13F0, WORD_LEN, 0 }, 
4419 {0x0994, 0xED04, WORD_LEN, 0 }, 
4420 {0x0996, 0xCC13, WORD_LEN, 0 }, 
4421 {0x0998, 0xF320, WORD_LEN, 0 }, 
4422 {0x099A, 0x0F7C, WORD_LEN, 0 }, 
4423 {0x099C, 0x13EE, WORD_LEN, 0 }, 
4424 {0x099E, 0xEC04, WORD_LEN, 0 }, 
4425 {0x098A, 0x0E7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4426 {0x0990, 0xC300, WORD_LEN, 0 }, 
4427 {0x0992, 0x01ED, WORD_LEN, 0 }, 
4428 {0x0994, 0x04EC, WORD_LEN, 0 }, 
4429 {0x0996, 0x02C3, WORD_LEN, 0 }, 
4430 {0x0998, 0x0001, WORD_LEN, 0 }, 
4431 {0x099A, 0xED02, WORD_LEN, 0 }, 
4432 {0x099C, 0xF613, WORD_LEN, 0 }, 
4433 {0x099E, 0xEEE1, WORD_LEN, 0 }, 
4434 {0x098A, 0x0E8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4435 {0x0990, 0x0624, WORD_LEN, 0 }, 
4436 {0x0992, 0x12EE, WORD_LEN, 0 }, 
4437 {0x0994, 0x04E6, WORD_LEN, 0 }, 
4438 {0x0996, 0x0030, WORD_LEN, 0 }, 
4439 {0x0998, 0xE107, WORD_LEN, 0 }, 
4440 {0x099A, 0x22DF, WORD_LEN, 0 }, 
4441 {0x099C, 0xEE02, WORD_LEN, 0 }, 
4442 {0x099E, 0xE600, WORD_LEN, 0 }, 
4443 {0x098A, 0x0E9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4444 {0x0990, 0x30E1, WORD_LEN, 0 }, 
4445 {0x0992, 0x0725, WORD_LEN, 0 }, 
4446 {0x0994, 0xD6DE, WORD_LEN, 0 }, 
4447 {0x0996, 0x49EE, WORD_LEN, 0 }, 
4448 {0x0998, 0x08AD, WORD_LEN, 0 }, 
4449 {0x099A, 0x00CC, WORD_LEN, 0 }, 
4450 {0x099C, 0x13F6, WORD_LEN, 0 }, 
4451 {0x099E, 0x30ED, WORD_LEN, 0 }, 
4452 {0x098A, 0x0EAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4453 {0x0990, 0x00DE, WORD_LEN, 0 }, 
4454 {0x0992, 0x2FEE, WORD_LEN, 0 }, 
4455 {0x0994, 0x10CC, WORD_LEN, 0 }, 
4456 {0x0996, 0x13FA, WORD_LEN, 0 }, 
4457 {0x0998, 0xAD00, WORD_LEN, 0 }, 
4458 {0x099A, 0x3838, WORD_LEN, 0 }, 
4459 {0x099C, 0x3838, WORD_LEN, 0 }, 
4460 {0x099E, 0x3937, WORD_LEN, 0 }, 
4461 {0x098A, 0x0EBB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4462 {0x0990, 0x363C, WORD_LEN, 0 }, 
4463 {0x0992, 0x3C3C, WORD_LEN, 0 }, 
4464 {0x0994, 0x5F4F, WORD_LEN, 0 }, 
4465 {0x0996, 0x30ED, WORD_LEN, 0 }, 
4466 {0x0998, 0x04EC, WORD_LEN, 0 }, 
4467 {0x099A, 0x06ED, WORD_LEN, 0 }, 
4468 {0x099C, 0x008F, WORD_LEN, 0 }, 
4469 {0x099E, 0xC300, WORD_LEN, 0 }, 
4470 {0x098A, 0x0ECB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4471 {0x0990, 0x04BD, WORD_LEN, 0 }, 
4472 {0x0992, 0x0F43, WORD_LEN, 0 }, 
4473 {0x0994, 0x30EC, WORD_LEN, 0 }, 
4474 {0x0996, 0x04BD, WORD_LEN, 0 }, 
4475 {0x0998, 0x0F76, WORD_LEN, 0 }, 
4476 {0x099A, 0x30ED, WORD_LEN, 0 }, 
4477 {0x099C, 0x0238, WORD_LEN, 0 }, 
4478 {0x099E, 0x3838, WORD_LEN, 0 }, 
4479 {0x098A, 0x0EDB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4480 {0x0990, 0x3839, WORD_LEN, 0 }, 
4481 {0x0992, 0x373C, WORD_LEN, 0 }, 
4482 {0x0994, 0x3C3C, WORD_LEN, 0 }, 
4483 {0x0996, 0x3C30, WORD_LEN, 0 }, 
4484 {0x0998, 0xE608, WORD_LEN, 0 }, 
4485 {0x099A, 0x2712, WORD_LEN, 0 }, 
4486 {0x099C, 0xC101, WORD_LEN, 0 }, 
4487 {0x099E, 0x2713, WORD_LEN, 0 }, 
4488 {0x098A, 0x0EEB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4489 {0x0990, 0xC102, WORD_LEN, 0 }, 
4490 {0x0992, 0x2714, WORD_LEN, 0 }, 
4491 {0x0994, 0xC103, WORD_LEN, 0 }, 
4492 {0x0996, 0x2715, WORD_LEN, 0 }, 
4493 {0x0998, 0xC104, WORD_LEN, 0 }, 
4494 {0x099A, 0x2716, WORD_LEN, 0 }, 
4495 {0x099C, 0x2019, WORD_LEN, 0 }, 
4496 {0x099E, 0xCC30, WORD_LEN, 0 }, 
4497 {0x098A, 0x0EFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4498 {0x0990, 0x5E20, WORD_LEN, 0 }, 
4499 {0x0992, 0x12CC, WORD_LEN, 0 }, 
4500 {0x0994, 0x305A, WORD_LEN, 0 }, 
4501 {0x0996, 0x200D, WORD_LEN, 0 }, 
4502 {0x0998, 0xCC30, WORD_LEN, 0 }, 
4503 {0x099A, 0x5620, WORD_LEN, 0 }, 
4504 {0x099C, 0x08CC, WORD_LEN, 0 }, 
4505 {0x099E, 0x305C, WORD_LEN, 0 }, 
4506 {0x098A, 0x0F0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4507 {0x0990, 0x2003, WORD_LEN, 0 }, 
4508 {0x0992, 0xCC30, WORD_LEN, 0 }, 
4509 {0x0994, 0x58ED, WORD_LEN, 0 }, 
4510 {0x0996, 0x065F, WORD_LEN, 0 }, 
4511 {0x0998, 0x4FED, WORD_LEN, 0 }, 
4512 {0x099A, 0x04EC, WORD_LEN, 0 }, 
4513 {0x099C, 0x0BED, WORD_LEN, 0 }, 
4514 {0x099E, 0x008F, WORD_LEN, 0 }, 
4515 {0x098A, 0x0F1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4516 {0x0990, 0xC300, WORD_LEN, 0 }, 
4517 {0x0992, 0x04BD, WORD_LEN, 0 }, 
4518 {0x0994, 0x0F43, WORD_LEN, 0 }, 
4519 {0x0996, 0x30EC, WORD_LEN, 0 }, 
4520 {0x0998, 0x048A, WORD_LEN, 0 }, 
4521 {0x099A, 0x02ED, WORD_LEN, 0 }, 
4522 {0x099C, 0x02EC, WORD_LEN, 0 }, 
4523 {0x099E, 0x06ED, WORD_LEN, 0 }, 
4524 {0x098A, 0x0F2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4525 {0x0990, 0x008F, WORD_LEN, 0 }, 
4526 {0x0992, 0xC300, WORD_LEN, 0 }, 
4527 {0x0994, 0x02DE, WORD_LEN, 0 }, 
4528 {0x0996, 0x0EAD, WORD_LEN, 0 }, 
4529 {0x0998, 0x0030, WORD_LEN, 0 }, 
4530 {0x099A, 0xEC04, WORD_LEN, 0 }, 
4531 {0x099C, 0xBD0F, WORD_LEN, 0 }, 
4532 {0x099E, 0x7630, WORD_LEN, 0 }, 
4533 {0x098A, 0x0F3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4534 {0x0990, 0xED02, WORD_LEN, 0 }, 
4535 {0x0992, 0x3838, WORD_LEN, 0 }, 
4536 {0x0994, 0x3838, WORD_LEN, 0 }, 
4537 {0x0996, 0x3139, WORD_LEN, 0 }, 
4538 {0x0998, 0x3736, WORD_LEN, 0 }, 
4539 {0x099A, 0x30EC, WORD_LEN, 0 }, 
4540 {0x099C, 0x041A, WORD_LEN, 0 }, 
4541 {0x099E, 0x8300, WORD_LEN, 0 }, 
4542 {0x098A, 0x0F4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4543 {0x0990, 0x4025, WORD_LEN, 0 }, 
4544 {0x0992, 0x22EC, WORD_LEN, 0 }, 
4545 {0x0994, 0x041A, WORD_LEN, 0 }, 
4546 {0x0996, 0x8300, WORD_LEN, 0 }, 
4547 {0x0998, 0x8024, WORD_LEN, 0 }, 
4548 {0x099A, 0x0504, WORD_LEN, 0 }, 
4549 {0x099C, 0xCA40, WORD_LEN, 0 }, 
4550 {0x099E, 0x2015, WORD_LEN, 0 }, 
4551 {0x098A, 0x0F5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4552 {0x0990, 0xEC04, WORD_LEN, 0 }, 
4553 {0x0992, 0x1A83, WORD_LEN, 0 }, 
4554 {0x0994, 0x0100, WORD_LEN, 0 }, 
4555 {0x0996, 0x2406, WORD_LEN, 0 }, 
4556 {0x0998, 0x0404, WORD_LEN, 0 }, 
4557 {0x099A, 0xCA80, WORD_LEN, 0 }, 
4558 {0x099C, 0x2007, WORD_LEN, 0 }, 
4559 {0x099E, 0xEC04, WORD_LEN, 0 }, 
4560 {0x098A, 0x0F6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4561 {0x0990, 0x0404, WORD_LEN, 0 }, 
4562 {0x0992, 0x04CA, WORD_LEN, 0 }, 
4563 {0x0994, 0xC0EE, WORD_LEN, 0 }, 
4564 {0x0996, 0x00ED, WORD_LEN, 0 }, 
4565 {0x0998, 0x0038, WORD_LEN, 0 }, 
4566 {0x099A, 0x3937, WORD_LEN, 0 }, 
4567 {0x099C, 0x363C, WORD_LEN, 0 }, 
4568 {0x099E, 0x301F, WORD_LEN, 0 }, 
4569 {0x098A, 0x0F7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4570 {0x0990, 0x0340, WORD_LEN, 0 }, 
4571 {0x0992, 0x0E1F, WORD_LEN, 0 }, 
4572 {0x0994, 0x0380, WORD_LEN, 0 }, 
4573 {0x0996, 0x0AEC, WORD_LEN, 0 }, 
4574 {0x0998, 0x02C4, WORD_LEN, 0 }, 
4575 {0x099A, 0x3F4F, WORD_LEN, 0 }, 
4576 {0x099C, 0x0505, WORD_LEN, 0 }, 
4577 {0x099E, 0x0520, WORD_LEN, 0 }, 
4578 {0x098A, 0x0F8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4579 {0x0990, 0x1B1F, WORD_LEN, 0 }, 
4580 {0x0992, 0x0380, WORD_LEN, 0 }, 
4581 {0x0994, 0x09EC, WORD_LEN, 0 }, 
4582 {0x0996, 0x02C4, WORD_LEN, 0 }, 
4583 {0x0998, 0x3F4F, WORD_LEN, 0 }, 
4584 {0x099A, 0x0505, WORD_LEN, 0 }, 
4585 {0x099C, 0x200E, WORD_LEN, 0 }, 
4586 {0x099E, 0x1F03, WORD_LEN, 0 }, 
4587 {0x098A, 0x0F9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4588 {0x0990, 0x4008, WORD_LEN, 0 }, 
4589 {0x0992, 0xEC02, WORD_LEN, 0 }, 
4590 {0x0994, 0xC43F, WORD_LEN, 0 }, 
4591 {0x0996, 0x4F05, WORD_LEN, 0 }, 
4592 {0x0998, 0x2002, WORD_LEN, 0 }, 
4593 {0x099A, 0xEC02, WORD_LEN, 0 }, 
4594 {0x099C, 0xED00, WORD_LEN, 0 }, 
4595 {0x099E, 0x3838, WORD_LEN, 0 }, 
4596 {0x098A, 0x8FAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4597 {0x0990, 0x0039, WORD_LEN, 0 },         // MCU_DATA_0
4598 {0x098A, 0x1000, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4599 {0x0990, 0xCC10, WORD_LEN, 0 }, 
4600 {0x0992, 0x09BD, WORD_LEN, 0 }, 
4601 {0x0994, 0x4224, WORD_LEN, 0 }, 
4602 {0x0996, 0x7E10, WORD_LEN, 0 }, 
4603 {0x0998, 0x09C6, WORD_LEN, 0 }, 
4604 {0x099A, 0x01F7, WORD_LEN, 0 }, 
4605 {0x099C, 0x018A, WORD_LEN, 0 }, 
4606 {0x099E, 0xC609, WORD_LEN, 0 }, 
4607 {0x098A, 0x1010, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4608 {0x0990, 0xF701, WORD_LEN, 0 }, 
4609 {0x0992, 0x8BDE, WORD_LEN, 0 }, 
4610 {0x0994, 0x3F18, WORD_LEN, 0 }, 
4611 {0x0996, 0xCE0B, WORD_LEN, 0 }, 
4612 {0x0998, 0xF3CC, WORD_LEN, 0 }, 
4613 {0x099A, 0x0011, WORD_LEN, 0 }, 
4614 {0x099C, 0xBDD7, WORD_LEN, 0 }, 
4615 {0x099E, 0x00CC, WORD_LEN, 0 }, 
4616 {0x098A, 0x1020, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4617 {0x0990, 0x0BF3, WORD_LEN, 0 }, 
4618 {0x0992, 0xDD3F, WORD_LEN, 0 }, 
4619 {0x0994, 0xDE35, WORD_LEN, 0 }, 
4620 {0x0996, 0x18CE, WORD_LEN, 0 }, 
4621 {0x0998, 0x0C05, WORD_LEN, 0 }, 
4622 {0x099A, 0xCC00, WORD_LEN, 0 }, 
4623 {0x099C, 0x3FBD, WORD_LEN, 0 }, 
4624 {0x099E, 0xD700, WORD_LEN, 0 }, 
4625 {0x098A, 0x1030, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4626 {0x0990, 0xCC0C, WORD_LEN, 0 }, 
4627 {0x0992, 0x05DD, WORD_LEN, 0 }, 
4628 {0x0994, 0x35DE, WORD_LEN, 0 }, 
4629 {0x0996, 0x4718, WORD_LEN, 0 }, 
4630 {0x0998, 0xCE0C, WORD_LEN, 0 }, 
4631 {0x099A, 0x45CC, WORD_LEN, 0 }, 
4632 {0x099C, 0x0015, WORD_LEN, 0 }, 
4633 {0x099E, 0xBDD7, WORD_LEN, 0 }, 
4634 {0x098A, 0x1040, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4635 {0x0990, 0x00CC, WORD_LEN, 0 }, 
4636 {0x0992, 0x0C45, WORD_LEN, 0 }, 
4637 {0x0994, 0xDD47, WORD_LEN, 0 }, 
4638 {0x0996, 0xFE00, WORD_LEN, 0 }, 
4639 {0x0998, 0x3318, WORD_LEN, 0 }, 
4640 {0x099A, 0xCE0C, WORD_LEN, 0 }, 
4641 {0x099C, 0x5BCC, WORD_LEN, 0 }, 
4642 {0x099E, 0x0009, WORD_LEN, 0 }, 
4643 {0x098A, 0x1050, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4644 {0x0990, 0xBDD7, WORD_LEN, 0 }, 
4645 {0x0992, 0x00CC, WORD_LEN, 0 }, 
4646 {0x0994, 0x0C5B, WORD_LEN, 0 }, 
4647 {0x0996, 0xFD00, WORD_LEN, 0 }, 
4648 {0x0998, 0x33DE, WORD_LEN, 0 }, 
4649 {0x099A, 0x3118, WORD_LEN, 0 }, 
4650 {0x099C, 0xCE0C, WORD_LEN, 0 }, 
4651 {0x099E, 0x65CC, WORD_LEN, 0 }, 
4652 {0x098A, 0x1060, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4653 {0x0990, 0x0029, WORD_LEN, 0 }, 
4654 {0x0992, 0xBDD7, WORD_LEN, 0 }, 
4655 {0x0994, 0x00CC, WORD_LEN, 0 }, 
4656 {0x0996, 0x0C65, WORD_LEN, 0 }, 
4657 {0x0998, 0xDD31, WORD_LEN, 0 }, 
4658 {0x099A, 0xDE39, WORD_LEN, 0 }, 
4659 {0x099C, 0x18CE, WORD_LEN, 0 }, 
4660 {0x099E, 0x0C8F, WORD_LEN, 0 }, 
4661 {0x098A, 0x1070, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4662 {0x0990, 0xCC00, WORD_LEN, 0 }, 
4663 {0x0992, 0x23BD, WORD_LEN, 0 }, 
4664 {0x0994, 0xD700, WORD_LEN, 0 }, 
4665 {0x0996, 0xCC0C, WORD_LEN, 0 }, 
4666 {0x0998, 0x8FDD, WORD_LEN, 0 }, 
4667 {0x099A, 0x39DE, WORD_LEN, 0 }, 
4668 {0x099C, 0x4918, WORD_LEN, 0 }, 
4669 {0x099E, 0xCE0C, WORD_LEN, 0 }, 
4670 {0x098A, 0x1080, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4671 {0x0990, 0xB3CC, WORD_LEN, 0 }, 
4672 {0x0992, 0x000D, WORD_LEN, 0 }, 
4673 {0x0994, 0xBDD7, WORD_LEN, 0 }, 
4674 {0x0996, 0x00CC, WORD_LEN, 0 }, 
4675 {0x0998, 0x0CB3, WORD_LEN, 0 }, 
4676 {0x099A, 0xDD49, WORD_LEN, 0 }, 
4677 {0x099C, 0xFC04, WORD_LEN, 0 }, 
4678 {0x099E, 0xC2FD, WORD_LEN, 0 }, 
4679 {0x098A, 0x1090, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4680 {0x0990, 0x0BF1, WORD_LEN, 0 }, 
4681 {0x0992, 0x18FE, WORD_LEN, 0 }, 
4682 {0x0994, 0x0BF1, WORD_LEN, 0 }, 
4683 {0x0996, 0xCDEE, WORD_LEN, 0 }, 
4684 {0x0998, 0x1518, WORD_LEN, 0 }, 
4685 {0x099A, 0xCE0C, WORD_LEN, 0 }, 
4686 {0x099C, 0xC1CC, WORD_LEN, 0 }, 
4687 {0x099E, 0x0029, WORD_LEN, 0 }, 
4688 {0x098A, 0x10A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4689 {0x0990, 0xBDD7, WORD_LEN, 0 }, 
4690 {0x0992, 0x00FE, WORD_LEN, 0 }, 
4691 {0x0994, 0x0BF1, WORD_LEN, 0 }, 
4692 {0x0996, 0xCC0C, WORD_LEN, 0 }, 
4693 {0x0998, 0xC1ED, WORD_LEN, 0 }, 
4694 {0x099A, 0x15CC, WORD_LEN, 0 }, 
4695 {0x099C, 0x11A5, WORD_LEN, 0 }, 
4696 {0x099E, 0xFD0B, WORD_LEN, 0 }, 
4697 {0x098A, 0x10B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4698 {0x0990, 0xFFCC, WORD_LEN, 0 }, 
4699 {0x0992, 0x0CFB, WORD_LEN, 0 }, 
4700 {0x0994, 0xFD0C, WORD_LEN, 0 }, 
4701 {0x0996, 0x21CC, WORD_LEN, 0 }, 
4702 {0x0998, 0x128F, WORD_LEN, 0 }, 
4703 {0x099A, 0xFD0C, WORD_LEN, 0 }, 
4704 {0x099C, 0x53CC, WORD_LEN, 0 }, 
4705 {0x099E, 0x114E, WORD_LEN, 0 }, 
4706 {0x098A, 0x10C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4707 {0x0990, 0xFD0C, WORD_LEN, 0 }, 
4708 {0x0992, 0x5DCC, WORD_LEN, 0 }, 
4709 {0x0994, 0x10E2, WORD_LEN, 0 }, 
4710 {0x0996, 0xFD0C, WORD_LEN, 0 }, 
4711 {0x0998, 0x6FCC, WORD_LEN, 0 }, 
4712 {0x099A, 0x0EDD, WORD_LEN, 0 }, 
4713 {0x099C, 0xFD0C, WORD_LEN, 0 }, 
4714 {0x099E, 0xD7CC, WORD_LEN, 0 }, 
4715 {0x098A, 0x10D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4716 {0x0990, 0x0EBA, WORD_LEN, 0 }, 
4717 {0x0992, 0xFD0C, WORD_LEN, 0 }, 
4718 {0x0994, 0xE9CC, WORD_LEN, 0 }, 
4719 {0x0996, 0x1350, WORD_LEN, 0 }, 
4720 {0x0998, 0xFD0C, WORD_LEN, 0 }, 
4721 {0x099A, 0x9BCC, WORD_LEN, 0 }, 
4722 {0x099C, 0x0E29, WORD_LEN, 0 }, 
4723 {0x099E, 0xFD0C, WORD_LEN, 0 }, 
4724 {0x098A, 0x10E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4725 {0x0990, 0xBF39, WORD_LEN, 0 }, 
4726 {0x0992, 0x373C, WORD_LEN, 0 }, 
4727 {0x0994, 0x3CDE, WORD_LEN, 0 }, 
4728 {0x0996, 0x1DEC, WORD_LEN, 0 }, 
4729 {0x0998, 0x0C5F, WORD_LEN, 0 }, 
4730 {0x099A, 0x8402, WORD_LEN, 0 }, 
4731 {0x099C, 0x4416, WORD_LEN, 0 }, 
4732 {0x099E, 0x4FF7, WORD_LEN, 0 }, 
4733 {0x098A, 0x10F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4734 {0x0990, 0x0CEB, WORD_LEN, 0 }, 
4735 {0x0992, 0xE60B, WORD_LEN, 0 }, 
4736 {0x0994, 0xC407, WORD_LEN, 0 }, 
4737 {0x0996, 0xF70C, WORD_LEN, 0 }, 
4738 {0x0998, 0xEC7F, WORD_LEN, 0 }, 
4739 {0x099A, 0x30C4, WORD_LEN, 0 }, 
4740 {0x099C, 0xEC25, WORD_LEN, 0 }, 
4741 {0x099E, 0xFD30, WORD_LEN, 0 }, 
4742 {0x098A, 0x1100, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4743 {0x0990, 0xC5FC, WORD_LEN, 0 }, 
4744 {0x0992, 0x06D6, WORD_LEN, 0 }, 
4745 {0x0994, 0xFD30, WORD_LEN, 0 }, 
4746 {0x0996, 0xC701, WORD_LEN, 0 }, 
4747 {0x0998, 0xFC30, WORD_LEN, 0 }, 
4748 {0x099A, 0xC0FD, WORD_LEN, 0 }, 
4749 {0x099C, 0x0BED, WORD_LEN, 0 }, 
4750 {0x099E, 0xFC30, WORD_LEN, 0 }, 
4751 {0x098A, 0x1110, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4752 {0x0990, 0xC2FD, WORD_LEN, 0 }, 
4753 {0x0992, 0x0BEF, WORD_LEN, 0 }, 
4754 {0x0994, 0xFC04, WORD_LEN, 0 }, 
4755 {0x0996, 0xC283, WORD_LEN, 0 }, 
4756 {0x0998, 0xFFFF, WORD_LEN, 0 }, 
4757 {0x099A, 0x2728, WORD_LEN, 0 }, 
4758 {0x099C, 0xDE06, WORD_LEN, 0 }, 
4759 {0x099E, 0xEC22, WORD_LEN, 0 }, 
4760 {0x098A, 0x1120, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4761 {0x0990, 0x8322, WORD_LEN, 0 }, 
4762 {0x0992, 0x0026, WORD_LEN, 0 }, 
4763 {0x0994, 0x1FCC, WORD_LEN, 0 }, 
4764 {0x0996, 0x3064, WORD_LEN, 0 }, 
4765 {0x0998, 0x30ED, WORD_LEN, 0 }, 
4766 {0x099A, 0x008F, WORD_LEN, 0 }, 
4767 {0x099C, 0xC300, WORD_LEN, 0 }, 
4768 {0x099E, 0x02DE, WORD_LEN, 0 }, 
4769 {0x098A, 0x1130, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4770 {0x0990, 0x0CAD, WORD_LEN, 0 }, 
4771 {0x0992, 0x0030, WORD_LEN, 0 }, 
4772 {0x0994, 0x1D02, WORD_LEN, 0 }, 
4773 {0x0996, 0x01CC, WORD_LEN, 0 }, 
4774 {0x0998, 0x3064, WORD_LEN, 0 }, 
4775 {0x099A, 0xED00, WORD_LEN, 0 }, 
4776 {0x099C, 0x8FC3, WORD_LEN, 0 }, 
4777 {0x099E, 0x0002, WORD_LEN, 0 }, 
4778 {0x098A, 0x1140, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4779 {0x0990, 0xDE0E, WORD_LEN, 0 }, 
4780 {0x0992, 0xAD00, WORD_LEN, 0 }, 
4781 {0x0994, 0x30E6, WORD_LEN, 0 }, 
4782 {0x0996, 0x04BD, WORD_LEN, 0 }, 
4783 {0x0998, 0x5203, WORD_LEN, 0 }, 
4784 {0x099A, 0x3838, WORD_LEN, 0 }, 
4785 {0x099C, 0x3139, WORD_LEN, 0 }, 
4786 {0x099E, 0x3C3C, WORD_LEN, 0 }, 
4787 {0x098A, 0x1150, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4788 {0x0990, 0x3C21, WORD_LEN, 0 }, 
4789 {0x0992, 0x01CC, WORD_LEN, 0 }, 
4790 {0x0994, 0x0018, WORD_LEN, 0 }, 
4791 {0x0996, 0xBD6F, WORD_LEN, 0 }, 
4792 {0x0998, 0xD1C5, WORD_LEN, 0 }, 
4793 {0x099A, 0x0426, WORD_LEN, 0 }, 
4794 {0x099C, 0xF5DC, WORD_LEN, 0 }, 
4795 {0x099E, 0x2530, WORD_LEN, 0 }, 
4796 {0x098A, 0x1160, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4797 {0x0990, 0xED04, WORD_LEN, 0 }, 
4798 {0x0992, 0x2012, WORD_LEN, 0 }, 
4799 {0x0994, 0xEE04, WORD_LEN, 0 }, 
4800 {0x0996, 0x3C18, WORD_LEN, 0 }, 
4801 {0x0998, 0x38E6, WORD_LEN, 0 }, 
4802 {0x099A, 0x2118, WORD_LEN, 0 }, 
4803 {0x099C, 0xE7BE, WORD_LEN, 0 }, 
4804 {0x099E, 0x30EE, WORD_LEN, 0 }, 
4805 {0x098A, 0x1170, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4806 {0x0990, 0x04EC, WORD_LEN, 0 }, 
4807 {0x0992, 0x1D30, WORD_LEN, 0 }, 
4808 {0x0994, 0xED04, WORD_LEN, 0 }, 
4809 {0x0996, 0xEC04, WORD_LEN, 0 }, 
4810 {0x0998, 0x26EA, WORD_LEN, 0 }, 
4811 {0x099A, 0xCC00, WORD_LEN, 0 }, 
4812 {0x099C, 0x1AED, WORD_LEN, 0 }, 
4813 {0x099E, 0x02CC, WORD_LEN, 0 }, 
4814 {0x098A, 0x1180, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4815 {0x0990, 0xFBFF, WORD_LEN, 0 }, 
4816 {0x0992, 0xED00, WORD_LEN, 0 }, 
4817 {0x0994, 0xCC04, WORD_LEN, 0 }, 
4818 {0x0996, 0x00BD, WORD_LEN, 0 }, 
4819 {0x0998, 0x706D, WORD_LEN, 0 }, 
4820 {0x099A, 0xCC00, WORD_LEN, 0 }, 
4821 {0x099C, 0x1A30, WORD_LEN, 0 }, 
4822 {0x099E, 0xED02, WORD_LEN, 0 }, 
4823 {0x098A, 0x1190, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4824 {0x0990, 0xCCFB, WORD_LEN, 0 }, 
4825 {0x0992, 0xFFED, WORD_LEN, 0 }, 
4826 {0x0994, 0x005F, WORD_LEN, 0 }, 
4827 {0x0996, 0x4FBD, WORD_LEN, 0 }, 
4828 {0x0998, 0x706D, WORD_LEN, 0 }, 
4829 {0x099A, 0x5FBD, WORD_LEN, 0 }, 
4830 {0x099C, 0x5B17, WORD_LEN, 0 }, 
4831 {0x099E, 0xBD55, WORD_LEN, 0 }, 
4832 {0x098A, 0x11A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4833 {0x0990, 0x8B38, WORD_LEN, 0 }, 
4834 {0x0992, 0x3838, WORD_LEN, 0 }, 
4835 {0x0994, 0x393C, WORD_LEN, 0 }, 
4836 {0x0996, 0x3CC6, WORD_LEN, 0 }, 
4837 {0x0998, 0x40F7, WORD_LEN, 0 }, 
4838 {0x099A, 0x30C4, WORD_LEN, 0 }, 
4839 {0x099C, 0xFC0B, WORD_LEN, 0 }, 
4840 {0x099E, 0xEDFD, WORD_LEN, 0 }, 
4841 {0x098A, 0x11B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4842 {0x0990, 0x30C0, WORD_LEN, 0 }, 
4843 {0x0992, 0xFC0B, WORD_LEN, 0 }, 
4844 {0x0994, 0xEFFD, WORD_LEN, 0 }, 
4845 {0x0996, 0x30C2, WORD_LEN, 0 }, 
4846 {0x0998, 0xDE1D, WORD_LEN, 0 }, 
4847 {0x099A, 0xEC25, WORD_LEN, 0 }, 
4848 {0x099C, 0xFD30, WORD_LEN, 0 }, 
4849 {0x099E, 0xC501, WORD_LEN, 0 }, 
4850 {0x098A, 0x11C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4851 {0x0990, 0x0101, WORD_LEN, 0 }, 
4852 {0x0992, 0xFC30, WORD_LEN, 0 }, 
4853 {0x0994, 0xC2FD, WORD_LEN, 0 }, 
4854 {0x0996, 0x06D6, WORD_LEN, 0 }, 
4855 {0x0998, 0xEC0C, WORD_LEN, 0 }, 
4856 {0x099A, 0x5F84, WORD_LEN, 0 }, 
4857 {0x099C, 0x0244, WORD_LEN, 0 }, 
4858 {0x099E, 0x164F, WORD_LEN, 0 }, 
4859 {0x098A, 0x11D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4860 {0x0990, 0x30E7, WORD_LEN, 0 }, 
4861 {0x0992, 0x03F1, WORD_LEN, 0 }, 
4862 {0x0994, 0x0CEB, WORD_LEN, 0 }, 
4863 {0x0996, 0x2715, WORD_LEN, 0 }, 
4864 {0x0998, 0xF10C, WORD_LEN, 0 }, 
4865 {0x099A, 0xEB23, WORD_LEN, 0 }, 
4866 {0x099C, 0x09FC, WORD_LEN, 0 }, 
4867 {0x099E, 0x06D6, WORD_LEN, 0 }, 
4868 {0x098A, 0x11E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4869 {0x0990, 0x04FD, WORD_LEN, 0 }, 
4870 {0x0992, 0x06D6, WORD_LEN, 0 }, 
4871 {0x0994, 0x2007, WORD_LEN, 0 }, 
4872 {0x0996, 0xFC06, WORD_LEN, 0 }, 
4873 {0x0998, 0xD605, WORD_LEN, 0 }, 
4874 {0x099A, 0xFD06, WORD_LEN, 0 }, 
4875 {0x099C, 0xD6DE, WORD_LEN, 0 }, 
4876 {0x099E, 0x1DE6, WORD_LEN, 0 }, 
4877 {0x098A, 0x11F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4878 {0x0990, 0x0BC4, WORD_LEN, 0 }, 
4879 {0x0992, 0x0730, WORD_LEN, 0 }, 
4880 {0x0994, 0xE702, WORD_LEN, 0 }, 
4881 {0x0996, 0xF10C, WORD_LEN, 0 }, 
4882 {0x0998, 0xEC27, WORD_LEN, 0 }, 
4883 {0x099A, 0x2C7D, WORD_LEN, 0 }, 
4884 {0x099C, 0x0CEC, WORD_LEN, 0 }, 
4885 {0x099E, 0x2727, WORD_LEN, 0 }, 
4886 {0x098A, 0x1200, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4887 {0x0990, 0x5D27, WORD_LEN, 0 }, 
4888 {0x0992, 0x247F, WORD_LEN, 0 }, 
4889 {0x0994, 0x30C4, WORD_LEN, 0 }, 
4890 {0x0996, 0xFC06, WORD_LEN, 0 }, 
4891 {0x0998, 0xD6FD, WORD_LEN, 0 }, 
4892 {0x099A, 0x30C5, WORD_LEN, 0 }, 
4893 {0x099C, 0xF60C, WORD_LEN, 0 }, 
4894 {0x099E, 0xEC4F, WORD_LEN, 0 }, 
4895 {0x098A, 0x1210, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4896 {0x0990, 0xFD30, WORD_LEN, 0 }, 
4897 {0x0992, 0xC7C6, WORD_LEN, 0 }, 
4898 {0x0994, 0x40F7, WORD_LEN, 0 }, 
4899 {0x0996, 0x30C4, WORD_LEN, 0 }, 
4900 {0x0998, 0xE602, WORD_LEN, 0 }, 
4901 {0x099A, 0x4FFD, WORD_LEN, 0 }, 
4902 {0x099C, 0x30C5, WORD_LEN, 0 }, 
4903 {0x099E, 0x0101, WORD_LEN, 0 }, 
4904 {0x098A, 0x1220, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4905 {0x0990, 0x01FC, WORD_LEN, 0 }, 
4906 {0x0992, 0x30C2, WORD_LEN, 0 }, 
4907 {0x0994, 0xFD06, WORD_LEN, 0 }, 
4908 {0x0996, 0xD67D, WORD_LEN, 0 }, 
4909 {0x0998, 0x06CB, WORD_LEN, 0 }, 
4910 {0x099A, 0x272E, WORD_LEN, 0 }, 
4911 {0x099C, 0xC640, WORD_LEN, 0 }, 
4912 {0x099E, 0xF730, WORD_LEN, 0 }, 
4913 {0x098A, 0x1230, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4914 {0x0990, 0xC4FC, WORD_LEN, 0 }, 
4915 {0x0992, 0x06C1, WORD_LEN, 0 }, 
4916 {0x0994, 0x04F3, WORD_LEN, 0 }, 
4917 {0x0996, 0x06D6, WORD_LEN, 0 }, 
4918 {0x0998, 0xED00, WORD_LEN, 0 }, 
4919 {0x099A, 0x5F6D, WORD_LEN, 0 }, 
4920 {0x099C, 0x002A, WORD_LEN, 0 }, 
4921 {0x099E, 0x0153, WORD_LEN, 0 }, 
4922 {0x098A, 0x1240, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4923 {0x0990, 0x17FD, WORD_LEN, 0 }, 
4924 {0x0992, 0x30C0, WORD_LEN, 0 }, 
4925 {0x0994, 0xEC00, WORD_LEN, 0 }, 
4926 {0x0996, 0xFD30, WORD_LEN, 0 }, 
4927 {0x0998, 0xC2FC, WORD_LEN, 0 }, 
4928 {0x099A, 0x06C1, WORD_LEN, 0 }, 
4929 {0x099C, 0xFD30, WORD_LEN, 0 }, 
4930 {0x099E, 0xC501, WORD_LEN, 0 }, 
4931 {0x098A, 0x1250, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4932 {0x0990, 0x0101, WORD_LEN, 0 }, 
4933 {0x0992, 0xFC30, WORD_LEN, 0 }, 
4934 {0x0994, 0xC2FD, WORD_LEN, 0 }, 
4935 {0x0996, 0x06C7, WORD_LEN, 0 }, 
4936 {0x0998, 0x2022, WORD_LEN, 0 }, 
4937 {0x099A, 0x7F30, WORD_LEN, 0 }, 
4938 {0x099C, 0xC4DE, WORD_LEN, 0 }, 
4939 {0x099E, 0x1DEC, WORD_LEN, 0 }, 
4940 {0x098A, 0x1260, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4941 {0x0990, 0x25FD, WORD_LEN, 0 }, 
4942 {0x0992, 0x30C5, WORD_LEN, 0 }, 
4943 {0x0994, 0xFC06, WORD_LEN, 0 }, 
4944 {0x0996, 0xD6FD, WORD_LEN, 0 }, 
4945 {0x0998, 0x30C7, WORD_LEN, 0 }, 
4946 {0x099A, 0x01FC, WORD_LEN, 0 }, 
4947 {0x099C, 0x30C0, WORD_LEN, 0 }, 
4948 {0x099E, 0xFD06, WORD_LEN, 0 }, 
4949 {0x098A, 0x1270, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4950 {0x0990, 0xD0FC, WORD_LEN, 0 }, 
4951 {0x0992, 0x30C2, WORD_LEN, 0 }, 
4952 {0x0994, 0xFD06, WORD_LEN, 0 }, 
4953 {0x0996, 0xD2EC, WORD_LEN, 0 }, 
4954 {0x0998, 0x25FD, WORD_LEN, 0 }, 
4955 {0x099A, 0x06C3, WORD_LEN, 0 }, 
4956 {0x099C, 0xBD95, WORD_LEN, 0 }, 
4957 {0x099E, 0x3CDE, WORD_LEN, 0 }, 
4958 {0x098A, 0x1280, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4959 {0x0990, 0x3FEE, WORD_LEN, 0 }, 
4960 {0x0992, 0x10AD, WORD_LEN, 0 }, 
4961 {0x0994, 0x00DE, WORD_LEN, 0 }, 
4962 {0x0996, 0x1DFC, WORD_LEN, 0 }, 
4963 {0x0998, 0x06CC, WORD_LEN, 0 }, 
4964 {0x099A, 0xED3E, WORD_LEN, 0 }, 
4965 {0x099C, 0x3838, WORD_LEN, 0 }, 
4966 {0x099E, 0x3930, WORD_LEN, 0 }, 
4967 {0x098A, 0x1290, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4968 {0x0990, 0x8FC3, WORD_LEN, 0 }, 
4969 {0x0992, 0xFFEC, WORD_LEN, 0 }, 
4970 {0x0994, 0x8F35, WORD_LEN, 0 }, 
4971 {0x0996, 0xBDAD, WORD_LEN, 0 }, 
4972 {0x0998, 0x15DE, WORD_LEN, 0 }, 
4973 {0x099A, 0x198F, WORD_LEN, 0 }, 
4974 {0x099C, 0xC301, WORD_LEN, 0 }, 
4975 {0x099E, 0x4B8F, WORD_LEN, 0 }, 
4976 {0x098A, 0x12A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4977 {0x0990, 0xEC00, WORD_LEN, 0 }, 
4978 {0x0992, 0xFD05, WORD_LEN, 0 }, 
4979 {0x0994, 0x0EEC, WORD_LEN, 0 }, 
4980 {0x0996, 0x02FD, WORD_LEN, 0 }, 
4981 {0x0998, 0x0510, WORD_LEN, 0 }, 
4982 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
4983 {0x099C, 0xFFCB, WORD_LEN, 0 }, 
4984 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
4985 {0x098A, 0x12B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4986 {0x0990, 0x00F7, WORD_LEN, 0 }, 
4987 {0x0992, 0x0514, WORD_LEN, 0 }, 
4988 {0x0994, 0xE603, WORD_LEN, 0 }, 
4989 {0x0996, 0xF705, WORD_LEN, 0 }, 
4990 {0x0998, 0x15FC, WORD_LEN, 0 }, 
4991 {0x099A, 0x055B, WORD_LEN, 0 }, 
4992 {0x099C, 0xFD05, WORD_LEN, 0 }, 
4993 {0x099E, 0x12DE, WORD_LEN, 0 }, 
4994 {0x098A, 0x12C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4995 {0x0990, 0x37EE, WORD_LEN, 0 }, 
4996 {0x0992, 0x08AD, WORD_LEN, 0 }, 
4997 {0x0994, 0x00F6, WORD_LEN, 0 }, 
4998 {0x0996, 0x0516, WORD_LEN, 0 }, 
4999 {0x0998, 0x4F30, WORD_LEN, 0 }, 
5000 {0x099A, 0xED04, WORD_LEN, 0 }, 
5001 {0x099C, 0xDE1F, WORD_LEN, 0 }, 
5002 {0x099E, 0xEC6B, WORD_LEN, 0 }, 
5003 {0x098A, 0x12D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5004 {0x0990, 0xFD05, WORD_LEN, 0 }, 
5005 {0x0992, 0x0EEC, WORD_LEN, 0 }, 
5006 {0x0994, 0x6DFD, WORD_LEN, 0 }, 
5007 {0x0996, 0x0510, WORD_LEN, 0 }, 
5008 {0x0998, 0xDE19, WORD_LEN, 0 }, 
5009 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
5010 {0x099C, 0x0117, WORD_LEN, 0 }, 
5011 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
5012 {0x098A, 0x12E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5013 {0x0990, 0x00F7, WORD_LEN, 0 }, 
5014 {0x0992, 0x0514, WORD_LEN, 0 }, 
5015 {0x0994, 0xE603, WORD_LEN, 0 }, 
5016 {0x0996, 0xF705, WORD_LEN, 0 }, 
5017 {0x0998, 0x15FC, WORD_LEN, 0 }, 
5018 {0x099A, 0x0559, WORD_LEN, 0 }, 
5019 {0x099C, 0xFD05, WORD_LEN, 0 }, 
5020 {0x099E, 0x12DE, WORD_LEN, 0 }, 
5021 {0x098A, 0x12F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5022 {0x0990, 0x37EE, WORD_LEN, 0 }, 
5023 {0x0992, 0x08AD, WORD_LEN, 0 }, 
5024 {0x0994, 0x00F6, WORD_LEN, 0 }, 
5025 {0x0996, 0x0516, WORD_LEN, 0 }, 
5026 {0x0998, 0x4F30, WORD_LEN, 0 }, 
5027 {0x099A, 0xED06, WORD_LEN, 0 }, 
5028 {0x099C, 0xDE1F, WORD_LEN, 0 }, 
5029 {0x099E, 0xEC6B, WORD_LEN, 0 }, 
5030 {0x098A, 0x1300, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5031 {0x0990, 0xFD05, WORD_LEN, 0 }, 
5032 {0x0992, 0x0EEC, WORD_LEN, 0 }, 
5033 {0x0994, 0x6DFD, WORD_LEN, 0 }, 
5034 {0x0996, 0x0510, WORD_LEN, 0 }, 
5035 {0x0998, 0xDE19, WORD_LEN, 0 }, 
5036 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
5037 {0x099C, 0x0118, WORD_LEN, 0 }, 
5038 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
5039 {0x098A, 0x1310, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5040 {0x0990, 0x00F7, WORD_LEN, 0 }, 
5041 {0x0992, 0x0514, WORD_LEN, 0 }, 
5042 {0x0994, 0xE603, WORD_LEN, 0 }, 
5043 {0x0996, 0xF705, WORD_LEN, 0 }, 
5044 {0x0998, 0x15FC, WORD_LEN, 0 }, 
5045 {0x099A, 0x0559, WORD_LEN, 0 }, 
5046 {0x099C, 0xFD05, WORD_LEN, 0 }, 
5047 {0x099E, 0x12DE, WORD_LEN, 0 }, 
5048 {0x098A, 0x1320, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5049 {0x0990, 0x37EE, WORD_LEN, 0 }, 
5050 {0x0992, 0x08AD, WORD_LEN, 0 }, 
5051 {0x0994, 0x00F6, WORD_LEN, 0 }, 
5052 {0x0996, 0x0516, WORD_LEN, 0 }, 
5053 {0x0998, 0x4F30, WORD_LEN, 0 }, 
5054 {0x099A, 0xED08, WORD_LEN, 0 }, 
5055 {0x099C, 0xCC32, WORD_LEN, 0 }, 
5056 {0x099E, 0x8EED, WORD_LEN, 0 }, 
5057 {0x098A, 0x1330, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5058 {0x0990, 0x00EC, WORD_LEN, 0 }, 
5059 {0x0992, 0x04BD, WORD_LEN, 0 }, 
5060 {0x0994, 0x7021, WORD_LEN, 0 }, 
5061 {0x0996, 0xCC32, WORD_LEN, 0 }, 
5062 {0x0998, 0x6C30, WORD_LEN, 0 }, 
5063 {0x099A, 0xED02, WORD_LEN, 0 }, 
5064 {0x099C, 0xCCF8, WORD_LEN, 0 }, 
5065 {0x099E, 0x00ED, WORD_LEN, 0 }, 
5066 {0x098A, 0x1340, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5067 {0x0990, 0x00A6, WORD_LEN, 0 }, 
5068 {0x0992, 0x07E3, WORD_LEN, 0 }, 
5069 {0x0994, 0x0884, WORD_LEN, 0 }, 
5070 {0x0996, 0x07BD, WORD_LEN, 0 }, 
5071 {0x0998, 0x706D, WORD_LEN, 0 }, 
5072 {0x099A, 0x30C6, WORD_LEN, 0 }, 
5073 {0x099C, 0x143A, WORD_LEN, 0 }, 
5074 {0x099E, 0x3539, WORD_LEN, 0 }, 
5075 {0x098A, 0x1350, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5076 {0x0990, 0x3CBD, WORD_LEN, 0 }, 
5077 {0x0992, 0x776D, WORD_LEN, 0 }, 
5078 {0x0994, 0xCC32, WORD_LEN, 0 }, 
5079 {0x0996, 0x5C30, WORD_LEN, 0 }, 
5080 {0x0998, 0xED00, WORD_LEN, 0 }, 
5081 {0x099A, 0xFC13, WORD_LEN, 0 }, 
5082 {0x099C, 0x8683, WORD_LEN, 0 }, 
5083 {0x099E, 0x0001, WORD_LEN, 0 }, 
5084 {0x098A, 0x1360, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5085 {0x0990, 0xBD70, WORD_LEN, 0 }, 
5086 {0x0992, 0x21CC, WORD_LEN, 0 }, 
5087 {0x0994, 0x325E, WORD_LEN, 0 }, 
5088 {0x0996, 0x30ED, WORD_LEN, 0 }, 
5089 {0x0998, 0x00FC, WORD_LEN, 0 }, 
5090 {0x099A, 0x1388, WORD_LEN, 0 }, 
5091 {0x099C, 0x8300, WORD_LEN, 0 }, 
5092 {0x099E, 0x01BD, WORD_LEN, 0 }, 
5093 {0x098A, 0x1370, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5094 {0x0990, 0x7021, WORD_LEN, 0 }, 
5095 {0x0992, 0x3839, WORD_LEN, 0 }, 
5096
5097 {0x098E, 0x0010, WORD_LEN, 0 },         // MCU_ADDRESS [MON_ADDR]
5098 {0x0990, 0x1000, WORD_LEN, 0 },         // MCU_DATA_0
5099 {0x098E, 0x0003, WORD_LEN, 0 },         // MCU_ADDRESS [MON_ALGO]
5100 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
5101 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
5102 //  POLL  MON_PATCH_0 =>  0x01
5103 {0x098E, 0x4815, WORD_LEN, 0 },         // MCU_ADDRESS
5104 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
5105 {0x098E, 0x485D, WORD_LEN, 0 },         // MCU_ADDRESS
5106 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
5107 {0x0018, 0x0028, WORD_LEN, 0 },         // STANDBY_CONTROL_AND_STATUS
5108 //  POLL  SEQ_STATE =>  0x01, 0x02, ..., 0x03 (11 reads)
5109 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
5110
5111 //awb saturation
5112 {0x098E, 0xAC31, WORD_LEN, 0 },         
5113 {0x0990, 0x00E8, WORD_LEN, 0 },  
5114
5115 // Digital Gain(5 times) / Analog Gain(14 times)
5116
5117 {0x098E, 0x682F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_TRACK_AE_MAX_VIRT_DGAIN]
5118 {0x0990, 0x0040, WORD_LEN, 0 },         // MCU_DATA_0
5119 {0x098E, 0x6837, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_TRACK_AE_MAX_VIRT_AGAIN]
5120 {0x0990, 0x0040, WORD_LEN, 0 },         // MCU_DATA_0
5121 {0x098E, 0x6839, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_TRACK_AE_MAX_VIRT_AGAIN]
5122 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5123
5124 {0x098E, 0x6835, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_TRACK_AE_MAX_VIRT_DGAIN]
5125 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5126 {0x098E, 0x6833, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_TRACK_AE_MAX_VIRT_DGAIN]
5127 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5128
5129
5130 {0x098E, 0x483E, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_CTX_A_DIGITAL_GAIN]
5131 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5132 {0x098E, 0x4886, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_CTX_B_DIGITAL_GAIN]
5133 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5134
5135 {0x098E, 0x6C35, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_AE_TRACK_AE_MAX_VIRT_DGAIN]
5136 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5137 {0x098E, 0x6C39, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_AE_TRACK_AE_MAX_VIRT_AGAIN]
5138 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5139
5140 {0x098E, 0xE823, WORD_LEN, 0 },         
5141 {0x0990, 0x0083, WORD_LEN, 0 },  
5142
5143 {0x098E, 0xE824, WORD_LEN, 0 },  
5144 {0x0990, 0x007C, WORD_LEN, 0 },         
5145
5146 /*{0x098E, 0x6811, WORD_LEN, 0 },  // MCU_ADDRESS [PRI_A_CONFIG_FD_ALGO_RUN]
5147 {0x0990, 0x0002, WORD_LEN, 0 },  // MCU_DATA_0
5148 {0x098E, 0xA005, WORD_LEN, 0 },  // MCU_ADDRESS [FD_FDPERIOD_SELECT]
5149 {0x0990, 0x0001, WORD_LEN, 0 },  // MCU_DATA_0
5150
5151 {0x098E, 0x8400, WORD_LEN, 0 },  // MCU_ADDRESS [SEQ_CMD]
5152 {0x0990, 0x0005, WORD_LEN, 0 },  // MCU_DATA_0
5153 */
5154 #endif
5155 { SEQUENCE_END, 0x00, WORD_LEN, 0}
5156 };
5157
5158 /* 720p 15fps @ 1280x720 */
5159
5160 static struct reginfo sensor_720p[]=
5161 {
5162         //{SEQUENCE_END, 0x00},
5163         /*{0x098E, 0x843C, WORD_LEN, 0}, // LOGICAL_ADDRESS_ACCESS [CAM_CORE_A_Y_ADDR_START]
5164         {0x843C, 0x01, BYTE_LEN, 0 }, // SEQ_STATE_CFG_5_MAX_FRAME_CNT
5165         {0x8404, 0x01, BYTE_LEN, 0 }, // SEQ_CMD
5166         {0x0016, 0x0447, WORD_LEN, 0},  // CLOCKS_CONTROL
5167         {0xC83A, 0x0106, WORD_LEN, 0},  // CAM_CORE_A_Y_ADDR_START
5168         {0xC83C, 0x0018, WORD_LEN, 0},  // CAM_CORE_A_X_ADDR_START
5169         {0xC83E, 0x06B7, WORD_LEN, 0},  // CAM_CORE_A_Y_ADDR_END
5170         {0xC840, 0x0A45, WORD_LEN, 0},  // CAM_CORE_A_X_ADDR_END
5171         {0xC86C, 0x0518, WORD_LEN, 0},  // CAM_CORE_A_OUTPUT_SIZE_WIDTH
5172         {0xC86E, 0x02D8, WORD_LEN, 0},  // CAM_CORE_A_OUTPUT_SIZE_HEIGHT
5173         {0xC870, 0x0014, WORD_LEN, 0},  // CAM_CORE_A_RX_FIFO_TRIGGER_MARK
5174         {0xC858, 0x0003, WORD_LEN, 0}, // CAM_CORE_A_COARSE_ITMIN
5175         {0xC8B8, 0x0004, WORD_LEN, 0},  // CAM_OUTPUT_0_JPEG_CONTROL
5176         {0xC8AA, 0x0500, WORD_LEN, 0},  // CAM_OUTPUT_0_IMAGE_WIDTH
5177         {0xC8AC, 0x02D0, WORD_LEN, 0},  // CAM_OUTPUT_0_IMAGE_HEIGHT
5178         {0xC8AE, 0x0001, WORD_LEN, 0},  // CAM_OUTPUT_0_OUTPUT_FORMAT
5179         {0x8404, 0x06, BYTE_LEN, 0 },  // SEQ_CMD
5180
5181         {SEQUENCE_WAIT_MS,100, WORD_LEN, 0},*/
5182         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5183         {SEQUENCE_END, 0x00}
5184 };
5185
5186 /*      1080p, 0x15fps, 0xyuv @1920x1080 */
5187
5188 static struct reginfo sensor_1080p[]=
5189 {
5190         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5191         {SEQUENCE_END, 0x00}
5192 };
5193
5194
5195 /* 2048*1536 QXGA */
5196 static struct reginfo sensor_qxga[] =
5197 {
5198         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5199         {SEQUENCE_END, 0x00}
5200 };
5201
5202 /* 1600X1200 UXGA */
5203 static struct reginfo sensor_uxga[] =
5204 {
5205         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5206         {SEQUENCE_END, 0x00}
5207 };
5208 /* 1280X1024 SXGA */
5209 static struct reginfo sensor_sxga[] =
5210 {
5211         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5212         {SEQUENCE_END, 0x00}
5213 };
5214 /*  1024X768 XGA */
5215 static struct reginfo sensor_xga[] =
5216 {
5217         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5218         {SEQUENCE_END, 0x00}
5219 };
5220
5221 /* 800X600 SVGA*/
5222 static struct reginfo sensor_svga[] =
5223 {
5224         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5225         {SEQUENCE_END, 0x00}
5226 };
5227
5228 /* 640X480 VGA */
5229 static struct reginfo sensor_vga[] =
5230 {
5231         //720p2vga
5232     {0xC83A, 0x000C, WORD_LEN, 0},    // CAM_CORE_A_Y_ADDR_START
5233     {0xC83C, 0x0018, WORD_LEN, 0},    // CAM_CORE_A_X_ADDR_START
5234     {0xC83E, 0x07B1, WORD_LEN, 0 },    // CAM_CORE_A_Y_ADDR_END
5235     {0xC840, 0x0A45, WORD_LEN, 0},    // CAM_CORE_A_X_ADDR_END
5236     {0xC868, 0x0423, WORD_LEN, 0},    // CAM_CORE_A_FRAME_LENGTH_LINES
5237     {0xC86A, 0x1194, WORD_LEN, 0},    // CAM_CORE_A_LINE_LENGTH_PCK
5238     {0xC86C, 0x0518, WORD_LEN, 0},    // CAM_CORE_A_OUTPUT_SIZE_WIDTH
5239     {0xC86E, 0x03D4, WORD_LEN, 0},    // CAM_CORE_A_OUTPUT_SIZE_HEIGHT
5240     {0xC870, 0x0014, WORD_LEN, 0},  // CAM_CORE_A_RX_FIFO_TRIGGER_MARK
5241     {0xC858, 0x0003, WORD_LEN, 0},  // CAM_CORE_A_COARSE_ITMIN
5242     {0xC8A4, 0x0A28, WORD_LEN, 0},    // CAM_CORE_B_OUTPUT_SIZE_WIDTH
5243     {0xC8A6, 0x07A0, WORD_LEN, 0 },    // CAM_CORE_B_OUTPUT_SIZE_HEIGHT
5244     {0xC8AA, 0x0280, WORD_LEN, 0 },    // CAM_OUTPUT_0_IMAGE_WIDTH
5245     {0xC8AC, 0x01E0, WORD_LEN, 0 },    // CAM_OUTPUT_0_IMAGE_HEIGHT
5246     {0xC8AE, 0x0001, WORD_LEN, 0 },    // CAM_OUTPUT_0_OUTPUT_FORMAT
5247     {0x8404, 0x06, BYTE_LEN, 0 }, // SEQ_CMD
5248     {SEQUENCE_WAIT_MS,100, WORD_LEN, 0},
5249     {SEQUENCE_END, 0x00}
5250 };
5251
5252 /* 352X288 CIF */
5253 static struct reginfo sensor_cif[] =
5254 {
5255         {SEQUENCE_END, 0x00}
5256 };
5257
5258 /* 320*240 QVGA */
5259 static  struct reginfo sensor_qvga[] =
5260 {
5261         {SEQUENCE_END, 0x00}
5262 };
5263
5264 /* 176X144 QCIF*/
5265 static struct reginfo sensor_qcif[] =
5266 {
5267         {SEQUENCE_END, 0x00}
5268 };
5269 #if 0
5270 /* 160X120 QQVGA*/
5271 static struct reginfo ov2655_qqvga[] =
5272 {
5273
5274     {0x300E, 0x34},
5275     {0x3011, 0x01},
5276     {0x3012, 0x10},
5277     {0x302a, 0x02},
5278     {0x302b, 0xE6},
5279     {0x306f, 0x14},
5280     {0x3362, 0x90},
5281
5282     {0x3070, 0x5d},
5283     {0x3072, 0x5d},
5284     {0x301c, 0x07},
5285     {0x301d, 0x07},
5286
5287     {0x3020, 0x01},
5288     {0x3021, 0x18},
5289     {0x3022, 0x00},
5290     {0x3023, 0x06},
5291     {0x3024, 0x06},
5292     {0x3025, 0x58},
5293     {0x3026, 0x02},
5294     {0x3027, 0x61},
5295     {0x3088, 0x00},
5296     {0x3089, 0xa0},
5297     {0x308a, 0x00},
5298     {0x308b, 0x78},
5299     {0x3316, 0x64},
5300     {0x3317, 0x25},
5301     {0x3318, 0x80},
5302     {0x3319, 0x08},
5303     {0x331a, 0x0a},
5304     {0x331b, 0x07},
5305     {0x331c, 0x80},
5306     {0x331d, 0x38},
5307     {0x3100, 0x00},
5308     {0x3302, 0x11},
5309
5310     {0x0, 0x0},
5311 };
5312
5313
5314
5315 static  struct reginfo ov2655_Sharpness_auto[] =
5316 {
5317     {0x3306, 0x00},
5318 };
5319
5320 static  struct reginfo ov2655_Sharpness1[] =
5321 {
5322     {0x3306, 0x08},
5323     {0x3371, 0x00},
5324 };
5325
5326 static  struct reginfo ov2655_Sharpness2[][3] =
5327 {
5328     //Sharpness 2
5329     {0x3306, 0x08},
5330     {0x3371, 0x01},
5331 };
5332
5333 static  struct reginfo ov2655_Sharpness3[] =
5334 {
5335     //default
5336     {0x3306, 0x08},
5337     {0x332d, 0x02},
5338 };
5339 static  struct reginfo ov2655_Sharpness4[]=
5340 {
5341     //Sharpness 4
5342     {0x3306, 0x08},
5343     {0x332d, 0x03},
5344 };
5345
5346 static  struct reginfo ov2655_Sharpness5[] =
5347 {
5348     //Sharpness 5
5349     {0x3306, 0x08},
5350     {0x332d, 0x04},
5351 };
5352 #endif
5353 static  struct reginfo sensor_Preview2Capture[]=
5354 {
5355         //capture2preview
5356         {0x098E, 0xEC05, WORD_LEN, 0}, 
5357         {0x0990, 0x0000, WORD_LEN, 0}, 
5358         {0x098E, 0x8400, WORD_LEN, 0}, 
5359         {0x0990, 0x0002, WORD_LEN, 0}, 
5360
5361         {SEQUENCE_END, 0x00}
5362 };
5363
5364 static  struct reginfo sensor_Capture2Preview[]=
5365 {
5366         //snap2preview
5367         /*{0x098E, 0x843C, WORD_LEN, 0},        // LOGICAL_ADDRESS_ACCESS [SEQ_STATE_CFG_5_MAX_FRAME_CNT]
5368         {0x843C, 0x01, BYTE_LEN, 0 },   // SEQ_STATE_CFG_5_MAX_FRAME_CNT
5369         {0x8404, 0x01, BYTE_LEN, 0 },   // SEQ_CMD
5370         {0x0016, 0x0447, WORD_LEN, 0},  // CLOCKS_CONTRO*/
5371
5372         {0x098E, 0xEC05, WORD_LEN, 0}, 
5373         {0x0990, 0x0005, WORD_LEN, 0}, 
5374         {0x098E, 0x8400, WORD_LEN, 0}, 
5375         {0x0990, 0x0001, WORD_LEN, 0}, 
5376         {0x098E, 0x8400, WORD_LEN, 0}, 
5377         {0x0990, 0x0006, WORD_LEN, 0}, 
5378
5379         {SEQUENCE_WAIT_MS,50, WORD_LEN, 0},
5380         {SEQUENCE_END, 0x00}
5381 };
5382 static  struct reginfo sensor_ClrFmt_YUYV[]=
5383 {
5384         {SEQUENCE_END, 0x00}
5385 };
5386
5387 static  struct reginfo sensor_ClrFmt_UYVY[]=
5388 {
5389         {SEQUENCE_END, 0x00}
5390 };
5391
5392
5393 #if CONFIG_SENSOR_WhiteBalance
5394 static  struct reginfo sensor_WhiteB_Auto[]=
5395 {
5396         //Auto
5397         {0x098E, 0x2C03, WORD_LEN, 0},          // MCU_ADDRESS [AWB_ALGO]
5398         {0x0990, 0x01FF, WORD_LEN, 0},          // MCU_DATA_0
5399
5400         {0x098E, 0xAC02, WORD_LEN, 0},          // MCU_ADDRESS [AWB_MODE]
5401         {0x0990, 0x000A, WORD_LEN, 0},          // MCU_DATA_0
5402         {0x098E, 0x683F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AWB_ALGO_RUN]
5403         {0x0990, 0x01FF, WORD_LEN, 0},          // MCU_DATA_0
5404                                        
5405         {0x098E, 0x8400, WORD_LEN, 0},          // MCU_ADDRESS [SEQ_CMD]
5406         {0x0990, 0x0005, WORD_LEN, 0},          // MCU_DATA_0
5407         
5408         {SEQUENCE_END, 0x00}
5409 };
5410 /* Cloudy Colour Temperature : 6500K - 8000K  */
5411 static  struct reginfo sensor_WhiteB_Cloudy[]=
5412 {
5413         //[V.       DL 7500]
5414         {0x098E, 0x48B0, WORD_LEN, 0}, 
5415     {0x0990, 0x01F9, WORD_LEN, 0}, 
5416     {0x098E, 0x48B2, WORD_LEN, 0}, 
5417     {0x0990, 0xFF27, WORD_LEN, 0}, 
5418     {0x098E, 0x48B4, WORD_LEN, 0}, 
5419     {0x0990, 0xFFFA, WORD_LEN, 0}, 
5420     {0x098E, 0x48B6, WORD_LEN, 0}, 
5421     {0x0990, 0xFFFD, WORD_LEN, 0}, 
5422     {0x098E, 0x48B8, WORD_LEN, 0}, 
5423     {0x0990, 0x01A6, WORD_LEN, 0}, 
5424     {0x098E, 0x48BA, WORD_LEN, 0}, 
5425     {0x0990, 0xFF76, WORD_LEN, 0}, 
5426     {0x098E, 0x48BC, WORD_LEN, 0}, 
5427     {0x0990, 0x0023, WORD_LEN, 0}, 
5428     {0x098E, 0x48BE, WORD_LEN, 0}, 
5429     {0x0990, 0xFF6A, WORD_LEN, 0}, 
5430     {0x098E, 0x48C0, WORD_LEN, 0}, 
5431     {0x0990, 0x018D, WORD_LEN, 0}, 
5432     {0x098E, 0x48C6, WORD_LEN, 0}, 
5433     {0x0990, 0x0000, WORD_LEN, 0}, 
5434     {0x098E, 0x48C8, WORD_LEN, 0}, 
5435     {0x0990, 0x0000, WORD_LEN, 0}, 
5436     {0x098E, 0x48CA, WORD_LEN, 0}, 
5437     {0x0990, 0x0000, WORD_LEN, 0}, 
5438     {0x098E, 0x48CC, WORD_LEN, 0}, 
5439     {0x0990, 0x0000, WORD_LEN, 0}, 
5440     {0x098E, 0x48CE, WORD_LEN, 0}, 
5441     {0x0990, 0x0000, WORD_LEN, 0}, 
5442     {0x098E, 0x48D0, WORD_LEN, 0}, 
5443     {0x0990, 0x0000, WORD_LEN, 0}, 
5444     {0x098E, 0x48D2, WORD_LEN, 0}, 
5445     {0x0990, 0x0000, WORD_LEN, 0}, 
5446     {0x098E, 0x48D4, WORD_LEN, 0}, 
5447     {0x0990, 0x0000, WORD_LEN, 0}, 
5448     {0x098E, 0x48D6, WORD_LEN, 0}, 
5449     {0x0990, 0x0000, WORD_LEN, 0}, 
5450     {0x098E, 0xAC02, WORD_LEN, 0}, 
5451     {0x0990, 0x0000, WORD_LEN, 0}, 
5452     {0x098E, 0x2C03, WORD_LEN, 0}, 
5453     {0x0990, 0x0000, WORD_LEN, 0}, 
5454     {0x098E, 0x683F, WORD_LEN, 0}, 
5455     {0x0990, 0x0000, WORD_LEN, 0}, 
5456     {0x098E, 0xAC3C, WORD_LEN, 0}, 
5457     {0x0990, 0x0035, WORD_LEN, 0}, 
5458     {0x098E, 0xAC3D, WORD_LEN, 0}, 
5459     {0x0990, 0x006E, WORD_LEN, 0}, 
5460     {0x098E, 0x8400, WORD_LEN, 0}, 
5461     {0x0990, 0x0005, WORD_LEN, 0}, 
5462         {SEQUENCE_END, 0x00}
5463 };
5464 /* ClearDay Colour Temperature : 5000K - 6500K  */
5465 static  struct reginfo sensor_WhiteB_ClearDay[]=
5466 {
5467         //[IV       Day Light]
5468         {0x098E, 0x48B0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
5469         {0x0990, 0x0131, WORD_LEN, 0},  // MCU_DATA_0
5470         {0x098E, 0x48B2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
5471         {0x0990, 0xFFFB, WORD_LEN, 0},  // MCU_DATA_0
5472         {0x098E, 0x48B4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
5473         {0x0990, 0x000E, WORD_LEN, 0},  // MCU_DATA_0
5474         {0x098E, 0x48B6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
5475         {0x0990, 0xFFD1, WORD_LEN, 0},  // MCU_DATA_0
5476         {0x098E, 0x48B8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
5477         {0x0990, 0x018B, WORD_LEN, 0},  // MCU_DATA_0
5478         {0x098E, 0x48BA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
5479         {0x0990, 0xFFE2, WORD_LEN, 0},  // MCU_DATA_0
5480         {0x098E, 0x48BC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
5481         {0x0990, 0x0014, WORD_LEN, 0},  // MCU_DATA_0
5482         {0x098E, 0x48BE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
5483         {0x0990, 0xFFA7, WORD_LEN, 0},  // MCU_DATA_0
5484         {0x098E, 0x48C0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
5485         {0x0990, 0x0180, WORD_LEN, 0},  // MCU_DATA_0
5486
5487         {0x098E, 0x48C6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
5488         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5489         {0x098E, 0x48C8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
5490         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5491         {0x098E, 0x48CA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
5492         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5493         {0x098E, 0x48CC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
5494         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5495         {0x098E, 0x48CE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
5496         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5497         {0x098E, 0x48D0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
5498         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5499         {0x098E, 0x48D2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
5500         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5501         {0x098E, 0x48D4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
5502         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5503         {0x098E, 0x48D6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
5504         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5505
5506         {0x098E, 0xAC02, WORD_LEN, 0},  // MCU_ADDRESS [AWB_MODE]
5507         {0x0990, 0x0010, WORD_LEN, 0},  // MCU_DATA_0
5508         {0x098E, 0x2C03, WORD_LEN, 0},  // MCU_ADDRESS [AWB_ALGO]
5509         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0
5510                                        
5511         {0x098E, 0xAC3C, WORD_LEN, 0},
5512         {0x0990, 0x0035, WORD_LEN, 0},
5513         {0x098E, 0xAC3D, WORD_LEN, 0},
5514         {0x0990, 0x0068, WORD_LEN, 0},
5515         {0x098E, 0xAC33, WORD_LEN, 0},
5516         {0x0990, 0x004D, WORD_LEN, 0},
5517                                        
5518         {0x098E, 0x683F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AWB_ALGO_RUN]
5519         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0           
5520
5521         {SEQUENCE_END, 0x00}
5522 };
5523 /* Office Colour Temperature : 3500K - 5000K  */
5524 static  struct reginfo sensor_WhiteB_TungstenLamp1[]=
5525 {
5526         //[III        Fluorescent]
5527         {0x098E, 0x48B0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
5528         {0x0990, 0x0119, WORD_LEN, 0},  // MCU_DATA_0
5529         {0x098E, 0x48B2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
5530         {0x0990, 0xFFFD, WORD_LEN, 0},  // MCU_DATA_0
5531         {0x098E, 0x48B4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
5532         {0x0990, 0x000F, WORD_LEN, 0},  // MCU_DATA_0
5533         {0x098E, 0x48B6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
5534         {0x0990, 0xFFCE, WORD_LEN, 0},  // MCU_DATA_0
5535         {0x098E, 0x48B8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
5536         {0x0990, 0x017A, WORD_LEN, 0},  // MCU_DATA_0
5537         {0x098E, 0x48BA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
5538         {0x0990, 0xFFDE, WORD_LEN, 0},  // MCU_DATA_0
5539         {0x098E, 0x48BC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
5540         {0x0990, 0x0017, WORD_LEN, 0},  // MCU_DATA_0
5541         {0x098E, 0x48BE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
5542         {0x0990, 0xFF9F, WORD_LEN, 0},  // MCU_DATA_0
5543         {0x098E, 0x48C0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
5544         {0x0990, 0x016F, WORD_LEN, 0},  // MCU_DATA_0
5545
5546         {0x098E, 0x48C6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
5547         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5548         {0x098E, 0x48C8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
5549         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5550         {0x098E, 0x48CA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
5551         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5552         {0x098E, 0x48CC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
5553         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5554         {0x098E, 0x48CE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
5555         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5556         {0x098E, 0x48D0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
5557         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5558         {0x098E, 0x48D2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
5559         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5560         {0x098E, 0x48D4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
5561         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5562         {0x098E, 0x48D6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
5563         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5564                                        
5565         {0x098E, 0xAC02, WORD_LEN, 0},  // MCU_ADDRESS [AWB_MODE]
5566         {0x0990, 0x0010, WORD_LEN, 0},  // MCU_DATA_0
5567         {0x098E, 0x2C03, WORD_LEN, 0},  // MCU_ADDRESS [AWB_ALGO]
5568         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0
5569                                      
5570         {0x098E, 0xAC3C, WORD_LEN, 0},
5571         {0x0990, 0x004A, WORD_LEN, 0},
5572         {0x098E, 0xAC3D, WORD_LEN, 0},
5573         {0x0990, 0x0045, WORD_LEN, 0},
5574         {0x098E, 0xAC33, WORD_LEN, 0},
5575         {0x0990, 0x0034, WORD_LEN, 0},
5576                                        
5577         {0x098E, 0x683F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AWB_ALGO_RUN]
5578         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0
5579         {SEQUENCE_END, 0x00}
5580 };
5581 /* Home Colour Temperature : 2500K - 3500K  */
5582 static  struct reginfo sensor_WhiteB_TungstenLamp2[]=
5583 {
5584         //[II.        Incandescent]
5585         {0x098E, 0x48B0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
5586         {0x0990, 0x010F, WORD_LEN, 0},  // MCU_DATA_0
5587         {0x098E, 0x48B2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
5588         {0x0990, 0xFFFC, WORD_LEN, 0},  // MCU_DATA_0
5589         {0x098E, 0x48B4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
5590         {0x0990, 0x000F, WORD_LEN, 0},  // MCU_DATA_0
5591         {0x098E, 0x48B6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
5592         {0x0990, 0xFFCD, WORD_LEN, 0},  // MCU_DATA_0
5593         {0x098E, 0x48B8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
5594         {0x0990, 0x0172, WORD_LEN, 0},  // MCU_DATA_0
5595         {0x098E, 0x48BA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
5596         {0x0990, 0xFFDC, WORD_LEN, 0},  // MCU_DATA_0
5597         {0x098E, 0x48BC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
5598         {0x0990, 0x0018, WORD_LEN, 0},  // MCU_DATA_0
5599         {0x098E, 0x48BE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
5600         {0x0990, 0xFF9B, WORD_LEN, 0},  // MCU_DATA_0
5601         {0x098E, 0x48C0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
5602         {0x0990, 0x0167, WORD_LEN, 0},  // MCU_DATA_0
5603
5604         {0x098E, 0x48C6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
5605         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5606         {0x098E, 0x48C8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
5607         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5608         {0x098E, 0x48CA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
5609         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5610         {0x098E, 0x48CC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
5611         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5612         {0x098E, 0x48CE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
5613         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5614         {0x098E, 0x48D0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
5615         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5616         {0x098E, 0x48D2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
5617         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5618         {0x098E, 0x48D4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
5619         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5620         {0x098E, 0x48D6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
5621         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5622
5623         {0x098E, 0xAC02, WORD_LEN, 0},  // MCU_ADDRESS [AWB_MODE]
5624         {0x0990, 0x0010, WORD_LEN, 0},  // MCU_DATA_0
5625         {0x098E, 0x2C03, WORD_LEN, 0},  // MCU_ADDRESS [AWB_ALGO]
5626         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0
5627                                        
5628         {0x098E, 0xAC3C, WORD_LEN, 0},
5629         {0x0990, 0x005A, WORD_LEN, 0},
5630         {0x098E, 0xAC3D, WORD_LEN, 0},
5631         {0x0990, 0x0037, WORD_LEN, 0},
5632         {0x098E, 0xAC33, WORD_LEN, 0},
5633         {0x0990, 0x0027, WORD_LEN, 0},
5634                                        
5635         {0x098E, 0x683F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AWB_ALGO_RUN]
5636         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0
5637         {SEQUENCE_END, 0x00}
5638 };
5639 static struct reginfo *sensor_WhiteBalanceSeqe[] = {sensor_WhiteB_Auto, sensor_WhiteB_TungstenLamp1,sensor_WhiteB_TungstenLamp2,
5640     sensor_WhiteB_ClearDay, sensor_WhiteB_Cloudy,NULL,
5641 };
5642 #endif
5643
5644 #if CONFIG_SENSOR_Brightness
5645 static  struct reginfo sensor_Brightness0[]=
5646 {
5647         {SEQUENCE_END, 0x00}
5648 };
5649
5650 static  struct reginfo sensor_Brightness1[]=
5651 {
5652         {SEQUENCE_END, 0x00}
5653 };
5654
5655 static  struct reginfo sensor_Brightness2[]=
5656 {
5657         {SEQUENCE_END, 0x00}
5658 };
5659
5660 static  struct reginfo sensor_Brightness3[]=
5661 {
5662         {SEQUENCE_END, 0x00}
5663 };
5664
5665 static  struct reginfo sensor_Brightness4[]=
5666 {
5667         {SEQUENCE_END, 0x00}
5668 };
5669
5670 static  struct reginfo sensor_Brightness5[]=
5671 {
5672         {SEQUENCE_END, 0x00}
5673 };
5674 static struct reginfo *sensor_BrightnessSeqe[] = {sensor_Brightness0, sensor_Brightness1, sensor_Brightness2, sensor_Brightness3,
5675     sensor_Brightness4, sensor_Brightness5,NULL,
5676 };
5677
5678 #endif
5679
5680 #if CONFIG_SENSOR_Effect
5681 static  struct reginfo sensor_Effect_Normal[] =
5682 {
5683         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5684         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5685         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5686         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5687                                         
5688         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5689         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5690         {SEQUENCE_END, 0x00}
5691 };
5692
5693 /*static  struct reginfo sensor_Effect_WandB[] =
5694 {
5695         {SEQUENCE_END, 0x00}
5696 };*/
5697
5698 static  struct reginfo sensor_Effect_Sepia[] =
5699 {
5700         {0x098E, 0xE886, WORD_LEN, 0}, // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
5701         {0x0990, 0x00D9, WORD_LEN, 0}, // MCU_DATA_0
5702         {0x098E, 0xE885, WORD_LEN, 0}, // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
5703         {0x0990, 0x0018, WORD_LEN, 0}, // MCU_DATA_0
5704                                       
5705         {0x098E, 0xEC86, WORD_LEN, 0}, // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
5706         {0x0990, 0x00D9, WORD_LEN, 0}, // MCU_DATA_0
5707         {0x098E, 0xEC85, WORD_LEN, 0}, // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
5708         {0x0990, 0x0018, WORD_LEN, 0}, // MCU_DATA_0
5709                                        
5710         {0x098E, 0xE883, WORD_LEN, 0}, // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5711         {0x0990, 0x0002, WORD_LEN, 0}, // MCU_DATA_0
5712         {0x098E, 0xEC83, WORD_LEN, 0}, // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5713         {0x0990, 0x0002, WORD_LEN, 0}, // MCU_DATA_0
5714                                        
5715         {0x098E, 0x8400, WORD_LEN, 0}, // MCU_ADDRESS [SEQ_CMD]
5716         {0x0990, 0x0006, WORD_LEN, 0}, // MCU_DATA_0
5717         {SEQUENCE_END, 0x00}
5718 };
5719
5720 static  struct reginfo sensor_Effect_Negative[] =
5721 {
5722         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5723         {0x0990, 0x0003, WORD_LEN, 0},  // MCU_DATA_0
5724         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5725         {0x0990, 0x0003, WORD_LEN, 0},  // MCU_DATA_0
5726                                      
5727         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5728         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5729
5730         {SEQUENCE_END, 0x00}
5731 };
5732 static  struct reginfo sensor_Effect_Bluish[] =
5733 {
5734         {0x098E, 0xE886, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
5735         {0x0990, 0x0039, WORD_LEN, 0},  // MCU_DATA_0
5736         {0x098E, 0xE885, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
5737         {0x0990, 0x00E8, WORD_LEN, 0},  // MCU_DATA_0                         
5738                                                                  
5739         {0x098E, 0xEC86, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
5740         {0x0990, 0x0039, WORD_LEN, 0},  // MCU_DATA_0                         
5741         {0x098E, 0xEC85, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
5742         {0x0990, 0x00E8, WORD_LEN, 0},  // MCU_DATA_0                         
5743                                                                 
5744         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5745         {0x0990, 0x0002, WORD_LEN, 0},  // MCU_DATA_0                         
5746         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5747         {0x0990, 0x0002, WORD_LEN, 0},  // MCU_DATA_0                         
5748                                                                 
5749         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]              
5750         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0  
5751         {SEQUENCE_END, 0x00}
5752 };
5753
5754 static  struct reginfo sensor_Effect_Green[] =
5755 {
5756         {0x098E, 0xE886, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
5757         {0x0990, 0x00D4, WORD_LEN, 0},  // MCU_DATA_0
5758         {0x098E, 0xE885, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
5759         {0x0990, 0x00E8, WORD_LEN, 0},  // MCU_DATA_0
5760                                          
5761         {0x098E, 0xEC86, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
5762         {0x0990, 0x00D4, WORD_LEN, 0},  // MCU_DATA_0
5763         {0x098E, 0xEC85, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
5764         {0x0990, 0x00E8, WORD_LEN, 0},  // MCU_DATA_0
5765                                          
5766         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5767         {0x0990, 0x0002, WORD_LEN, 0},  // MCU_DATA_0
5768         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5769         {0x0990, 0x0002, WORD_LEN, 0},  // MCU_DATA_0
5770                                          
5771         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5772         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5773         {SEQUENCE_END, 0x00}
5774 };
5775
5776 static struct reginfo sensor_Effect_Solarize[] =
5777 {
5778         
5779         {SEQUENCE_END, 0x00}
5780 };
5781
5782
5783 static struct reginfo sensor_Effect_Grayscale[] =
5784 {
5785         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5786         {0x0990, 0x0001, WORD_LEN, 0},  // MCU_DATA_0
5787         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5788         {0x0990, 0x0001, WORD_LEN, 0},  // MCU_DATA_0
5789                                         
5790         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5791         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5792         {SEQUENCE_END, 0x00}
5793 };
5794
5795
5796 static struct reginfo *sensor_EffectSeqe[] = {sensor_Effect_Normal, sensor_Effect_Negative,sensor_Effect_Sepia,
5797     sensor_Effect_Solarize,sensor_Effect_Bluish,sensor_Effect_Green,sensor_Effect_Grayscale,NULL,
5798 };
5799 #endif
5800 #if CONFIG_SENSOR_Exposure
5801 static  struct reginfo sensor_Exposure0[]=
5802 {
5803         {0x098E, 0xE81F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5804         {0x0990, 0x000C, WORD_LEN, 0},  // MCU_DATA_0
5805         {0x098E, 0xEC1F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5806         {0x0990, 0x000C, WORD_LEN, 0},  // MCU_DATA_0
5807         {SEQUENCE_END, 0x00}
5808 };
5809
5810 static  struct reginfo sensor_Exposure1[]=
5811 {
5812         {0x098E, 0xE81F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5813         {0x0990, 0x0011, WORD_LEN, 0},          // MCU_DATA_0
5814         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5815         {0x0990, 0x0011, WORD_LEN, 0},          // MCU_DATA_0 
5816         {SEQUENCE_END, 0x00}
5817 };
5818
5819 static  struct reginfo sensor_Exposure2[]=
5820 {
5821         {0x098E, 0xE81F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5822         {0x0990, 0x0016, WORD_LEN, 0},          // MCU_DATA_0
5823         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5824         {0x0990, 0x0016, WORD_LEN, 0},          // MCU_DATA_0 
5825         {SEQUENCE_END, 0x00}
5826 };
5827
5828 static  struct reginfo sensor_Exposure3[]=
5829 {
5830          {0x098E, 0xE81F, WORD_LEN, 0},         // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5831         {0x0990, 0x001B, WORD_LEN, 0},          // MCU_DATA_0
5832         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5833         {0x0990, 0x001B, WORD_LEN, 0},          // MCU_DATA_0 
5834         {SEQUENCE_END, 0x00}
5835 };
5836
5837 static  struct reginfo sensor_Exposure4[]=
5838 {
5839         {0x098E, 0xE81F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5840         {0x0990, 0x0020, WORD_LEN, 0},          // MCU_DATA_0
5841         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5842         {0x0990, 0x0020, WORD_LEN, 0},          // MCU_DATA_0
5843         {SEQUENCE_END, 0x00}
5844 };
5845
5846 static  struct reginfo sensor_Exposure5[]=
5847 {
5848         {0x098E, 0xE81F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5849         {0x0990, 0x0025, WORD_LEN, 0},          // MCU_DATA_0
5850         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5851         {0x0990, 0x0025, WORD_LEN, 0},          // MCU_DATA_0 
5852         {SEQUENCE_END, 0x00}
5853 };
5854
5855 static  struct reginfo sensor_Exposure6[]=
5856 {
5857         {0x098E, 0xE81F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5858         {0x0990, 0x002A, WORD_LEN, 0},          // MCU_DATA_0
5859         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5860         {0x0990, 0x002A, WORD_LEN, 0},          // MCU_DATA_0 
5861         {SEQUENCE_END, 0x00}
5862 };
5863
5864 static  struct reginfo sensor_Exposure7[]=
5865 {
5866         {0x098E, 0xE81F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5867         {0x0990, 0x002F, WORD_LEN, 0},  // MCU_DATA_0
5868         {0x098E, 0xEC1F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5869         {0x0990, 0x002F, WORD_LEN, 0},  // MCU_DATA_0 
5870         {SEQUENCE_END, 0x00}
5871 };
5872
5873 static  struct reginfo sensor_Exposure8[]=
5874 {
5875         {0x098E, 0xE81F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5876         {0x0990, 0x0034, WORD_LEN, 0},  // MCU_DATA_0
5877         {0x098E, 0xEC1F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5878         {0x0990, 0x0034, WORD_LEN, 0},  // MCU_DATA_0 
5879         {SEQUENCE_END, 0x00}
5880 };
5881
5882 static struct reginfo *sensor_ExposureSeqe[] = {sensor_Exposure0, sensor_Exposure1, sensor_Exposure2, sensor_Exposure3,
5883     sensor_Exposure4, sensor_Exposure5,sensor_Exposure6,sensor_Exposure7,sensor_Exposure8,NULL,
5884 };
5885 #endif
5886 #if CONFIG_SENSOR_Saturation
5887 static  struct reginfo sensor_Saturation0[]=
5888 {
5889         {SEQUENCE_END, 0x00}
5890 };
5891
5892 static  struct reginfo sensor_Saturation1[]=
5893 {
5894         {SEQUENCE_END, 0x00}
5895 };
5896
5897 static  struct reginfo sensor_Saturation2[]=
5898 {
5899         {SEQUENCE_END, 0x00}
5900 };
5901 static struct reginfo *sensor_SaturationSeqe[] = {sensor_Saturation0, sensor_Saturation1, sensor_Saturation2, NULL,};
5902
5903 #endif
5904 #if CONFIG_SENSOR_Contrast
5905 static  struct reginfo sensor_Contrast0[]=
5906 {
5907         {SEQUENCE_END, 0x00}
5908 };
5909
5910 static  struct reginfo sensor_Contrast1[]=
5911 {
5912         {SEQUENCE_END, 0x00}
5913 };
5914
5915 static  struct reginfo sensor_Contrast2[]=
5916 {
5917         {SEQUENCE_END, 0x00}
5918 };
5919
5920 static  struct reginfo sensor_Contrast3[]=
5921 {
5922         {SEQUENCE_END, 0x00}
5923 };
5924
5925 static  struct reginfo sensor_Contrast4[]=
5926 {
5927         {SEQUENCE_END, 0x00}
5928 };
5929
5930
5931 static  struct reginfo sensor_Contrast5[]=
5932 {
5933         {SEQUENCE_END, 0x00}
5934 };
5935
5936 static  struct reginfo sensor_Contrast6[]=
5937 {
5938         {SEQUENCE_END, 0x00}
5939 };
5940 static struct reginfo *sensor_ContrastSeqe[] = {sensor_Contrast0, sensor_Contrast1, sensor_Contrast2, sensor_Contrast3,
5941     sensor_Contrast4, sensor_Contrast5, sensor_Contrast6, NULL,
5942 };
5943
5944 #endif
5945 #if CONFIG_SENSOR_Mirror
5946 static  struct reginfo sensor_MirrorOn[]=
5947 {
5948         {SEQUENCE_END, 0x00}
5949 };
5950
5951 static  struct reginfo sensor_MirrorOff[]=
5952 {
5953         {SEQUENCE_END, 0x00}
5954 };
5955 static struct reginfo *sensor_MirrorSeqe[] = {sensor_MirrorOff, sensor_MirrorOn,NULL,};
5956 #endif
5957 #if CONFIG_SENSOR_Flip
5958 static  struct reginfo sensor_FlipOn[]=
5959 {
5960         {SEQUENCE_END, 0x00}
5961 };
5962
5963 static  struct reginfo sensor_FlipOff[]=
5964 {
5965         {SEQUENCE_END, 0x00}
5966 };
5967 static struct reginfo *sensor_FlipSeqe[] = {sensor_FlipOff, sensor_FlipOn,NULL,};
5968
5969 #endif
5970 #if CONFIG_SENSOR_Scene
5971 static  struct reginfo sensor_SceneAuto[] =
5972 {
5973         {0x098E, 0x483E, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_CTX_A_DIGITAL_GAIN]
5974         {0x0990, 0x0120, WORD_LEN, 0},  // MCU_DATA_0
5975         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5976         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5977         {SEQUENCE_END, 0x00}
5978 };
5979
5980 static  struct reginfo sensor_SceneNight[] =
5981 {
5982         {0x098E, 0x483E, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_CTX_A_DIGITAL_GAIN]
5983         {0x0990, 0x0080, WORD_LEN, 0},  // MCU_DATA_0
5984         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5985         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5986         {SEQUENCE_END, 0x00}
5987 };
5988 static struct reginfo *sensor_SceneSeqe[] = {sensor_SceneAuto, sensor_SceneNight,NULL,};
5989
5990 #endif
5991 #if CONFIG_SENSOR_DigitalZoom
5992 static struct reginfo sensor_Zoom0[] =
5993 {
5994         {SEQUENCE_END, 0x00}
5995 };
5996
5997 static struct reginfo sensor_Zoom1[] =
5998 {
5999         {SEQUENCE_END, 0x00}
6000 };
6001
6002 static struct reginfo sensor_Zoom2[] =
6003 {
6004         {SEQUENCE_END, 0x00}
6005 };
6006
6007
6008 static struct reginfo sensor_Zoom3[] =
6009 {
6010         {SEQUENCE_END, 0x00}
6011 };
6012 static struct reginfo *sensor_ZoomSeqe[] = {sensor_Zoom0, sensor_Zoom1, sensor_Zoom2, sensor_Zoom3, NULL};
6013 #endif
6014 static const struct v4l2_querymenu sensor_menus[] =
6015 {
6016         #if CONFIG_SENSOR_WhiteBalance
6017     { .id = V4L2_CID_DO_WHITE_BALANCE,  .index = 0,  .name = "auto",  .reserved = 0, }, {  .id = V4L2_CID_DO_WHITE_BALANCE,  .index = 1, .name = "incandescent",  .reserved = 0,},
6018     { .id = V4L2_CID_DO_WHITE_BALANCE,  .index = 2,  .name = "fluorescent", .reserved = 0,}, {  .id = V4L2_CID_DO_WHITE_BALANCE, .index = 3,  .name = "daylight", .reserved = 0,},
6019     { .id = V4L2_CID_DO_WHITE_BALANCE,  .index = 4,  .name = "cloudy-daylight", .reserved = 0,},
6020     #endif
6021
6022         #if CONFIG_SENSOR_Effect
6023     { .id = V4L2_CID_EFFECT,  .index = 0,  .name = "none",  .reserved = 0, }, {  .id = V4L2_CID_EFFECT,  .index = 1, .name = "negative",  .reserved = 0,},
6024     { .id = V4L2_CID_EFFECT,  .index = 2,  .name = "sepia", .reserved = 0,}, {  .id = V4L2_CID_EFFECT, .index = 3,  .name = "solarize", .reserved = 0,},
6025      { .id = V4L2_CID_EFFECT,  .index = 4,  .name = "bluish",  .reserved = 0, }, {  .id = V4L2_CID_EFFECT,  .index = 5, .name = "green",  .reserved = 0,},
6026     { .id = V4L2_CID_EFFECT,  .index = 6,  .name = "graycale", .reserved = 0,}, 
6027     #endif
6028 #if CONFIG_SENSOR_Exposure
6029         { .id = V4L2_CID_EXPOSURE,  .index = 0,  .name = "-4",  .reserved = 0, }, {  .id = V4L2_CID_EXPOSURE,  .index = 1, .name = "-3",  .reserved = 0,},
6030         { .id = V4L2_CID_EXPOSURE,  .index = 2,  .name = "-2", .reserved = 0,}, {  .id = V4L2_CID_EXPOSURE, .index = 3,  .name = "-1", .reserved = 0,},
6031         { .id = V4L2_CID_EXPOSURE,  .index = 4,  .name = "0",  .reserved = 0, }, {  .id = V4L2_CID_EXPOSURE,  .index = 5, .name = "+1",  .reserved = 0,},
6032         { .id = V4L2_CID_EXPOSURE,  .index = 6,  .name = "+2",  .reserved = 0, }, {  .id = V4L2_CID_EXPOSURE,  .index = 7, .name = "+3",  .reserved = 0,},
6033         { .id = V4L2_CID_EXPOSURE,  .index = 8,  .name = "+4",  .reserved = 0, }, 
6034 #endif
6035         #if CONFIG_SENSOR_Scene
6036     { .id = V4L2_CID_SCENE,  .index = 0, .name = "auto", .reserved = 0,} ,{ .id = V4L2_CID_SCENE,  .index = 1,  .name = "night", .reserved = 0,},
6037     #endif
6038
6039         #if CONFIG_SENSOR_Flash
6040         { .id = V4L2_CID_FLASH,  .index = 0,  .name = "off",  .reserved = 0, }, {  .id = V4L2_CID_FLASH,  .index = 1, .name = "auto",  .reserved = 0,},
6041         { .id = V4L2_CID_FLASH,  .index = 2,  .name = "on", .reserved = 0,}, {  .id = V4L2_CID_FLASH, .index = 3,  .name = "torch", .reserved = 0,},
6042   
6043     //{ .id = V4L2_CID_FLASH,  .index = 0,  .name = "off",  .reserved = 0, }, {  .id = V4L2_CID_FLASH,  .index = 1, .name = "on",  .reserved = 0,},
6044     #endif
6045 };
6046
6047 static const struct v4l2_queryctrl sensor_controls[] =
6048 {
6049         #if CONFIG_SENSOR_WhiteBalance
6050     {
6051         .id             = V4L2_CID_DO_WHITE_BALANCE,
6052         .type           = V4L2_CTRL_TYPE_MENU,
6053         .name           = "White Balance Control",
6054         .minimum        = 0,
6055         .maximum        = 4,
6056         .step           = 1,
6057         .default_value = 0,
6058     },
6059     #endif
6060
6061         #if CONFIG_SENSOR_Brightness
6062         {
6063         .id             = V4L2_CID_BRIGHTNESS,
6064         .type           = V4L2_CTRL_TYPE_INTEGER,
6065         .name           = "Brightness Control",
6066         .minimum        = -3,
6067         .maximum        = 2,
6068         .step           = 1,
6069         .default_value = 0,
6070     },
6071     #endif
6072
6073         #if CONFIG_SENSOR_Effect
6074         {
6075         .id             = V4L2_CID_EFFECT,
6076         .type           = V4L2_CTRL_TYPE_MENU,
6077         .name           = "Effect Control",
6078         .minimum        = 0,
6079         .maximum        = 6,
6080         .step           = 1,
6081         .default_value = 0,
6082     },
6083         #endif
6084
6085         #if CONFIG_SENSOR_Exposure
6086         {
6087         .id             = V4L2_CID_EXPOSURE,
6088         .type           = V4L2_CTRL_TYPE_INTEGER,
6089         .name           = "Exposure Control",
6090         .minimum        = 0,
6091         .maximum        = 8,
6092         .step           = 1,
6093         .default_value = 0,
6094     },
6095         #endif
6096
6097         #if CONFIG_SENSOR_Saturation
6098         {
6099         .id             = V4L2_CID_SATURATION,
6100         .type           = V4L2_CTRL_TYPE_INTEGER,
6101         .name           = "Saturation Control",
6102         .minimum        = 0,
6103         .maximum        = 2,
6104         .step           = 1,
6105         .default_value = 0,
6106     },
6107     #endif
6108
6109         #if CONFIG_SENSOR_Contrast
6110         {
6111         .id             = V4L2_CID_CONTRAST,
6112         .type           = V4L2_CTRL_TYPE_INTEGER,
6113         .name           = "Contrast Control",
6114         .minimum        = -3,
6115         .maximum        = 3,
6116         .step           = 1,
6117         .default_value = 0,
6118     },
6119         #endif
6120
6121         #if CONFIG_SENSOR_Mirror
6122         {
6123         .id             = V4L2_CID_HFLIP,
6124         .type           = V4L2_CTRL_TYPE_BOOLEAN,
6125         .name           = "Mirror Control",
6126         .minimum        = 0,
6127         .maximum        = 1,
6128         .step           = 1,
6129         .default_value = 1,
6130     },
6131     #endif
6132
6133         #if CONFIG_SENSOR_Flip
6134         {
6135         .id             = V4L2_CID_VFLIP,
6136         .type           = V4L2_CTRL_TYPE_BOOLEAN,
6137         .name           = "Flip Control",
6138         .minimum        = 0,
6139         .maximum        = 1,
6140         .step           = 1,
6141         .default_value = 1,
6142     },
6143     #endif
6144
6145         #if CONFIG_SENSOR_Scene
6146     {
6147         .id             = V4L2_CID_SCENE,
6148         .type           = V4L2_CTRL_TYPE_MENU,
6149         .name           = "Scene Control",
6150         .minimum        = 0,
6151         .maximum        = 1,
6152         .step           = 1,
6153         .default_value = 0,
6154     },
6155     #endif
6156
6157         #if CONFIG_SENSOR_DigitalZoom
6158     {
6159         .id             = V4L2_CID_ZOOM_RELATIVE,
6160         .type           = V4L2_CTRL_TYPE_INTEGER,
6161         .name           = "DigitalZoom Control",
6162         .minimum        = -1,
6163         .maximum        = 1,
6164         .step           = 1,
6165         .default_value = 0,
6166     }, {
6167         .id             = V4L2_CID_ZOOM_ABSOLUTE,
6168         .type           = V4L2_CTRL_TYPE_INTEGER,
6169         .name           = "DigitalZoom Control",
6170         .minimum        = 0,
6171         .maximum        = 3,
6172         .step           = 1,
6173         .default_value = 0,
6174     },
6175     #endif
6176
6177         #if CONFIG_SENSOR_Focus
6178         /*{
6179         .id             = V4L2_CID_FOCUS_RELATIVE,
6180         .type           = V4L2_CTRL_TYPE_INTEGER,
6181         .name           = "Focus Control",
6182         .minimum        = -1,
6183         .maximum        = 1,
6184         .step           = 1,
6185         .default_value = 0,
6186     }, {
6187         .id             = V4L2_CID_FOCUS_ABSOLUTE,
6188         .type           = V4L2_CTRL_TYPE_INTEGER,
6189         .name           = "Focus Control",
6190         .minimum        = 0,
6191         .maximum        = 255,
6192         .step           = 1,
6193         .default_value = 125,
6194     },
6195         {
6196         .id             = V4L2_CID_FOCUS_AUTO,
6197         .type           = V4L2_CTRL_TYPE_BOOLEAN,
6198         .name           = "Focus Control",
6199         .minimum        = 0,
6200         .maximum        = 1,
6201         .step           = 1,
6202         .default_value = 0,
6203     },*/{
6204         .id             = V4L2_CID_FOCUS_CONTINUOUS,
6205         .type           = V4L2_CTRL_TYPE_BOOLEAN,
6206         .name           = "Focus Control",
6207         .minimum        = 0,
6208         .maximum        = 1,
6209         .step           = 1,
6210         .default_value = 0,
6211     },
6212     #endif
6213
6214         #if CONFIG_SENSOR_Flash
6215         {
6216         .id             = V4L2_CID_FLASH,
6217         .type           = V4L2_CTRL_TYPE_MENU,
6218        // .type         = V4L2_CTRL_TYPE_BOOLEAN,
6219         .name           = "Flash Control",
6220         .minimum        = 0,
6221         .maximum        = 3,
6222          //.maximum     = 1,
6223         .step           = 1,
6224         .default_value = 0,
6225     },
6226         #endif
6227 };
6228
6229 static int sensor_probe(struct i2c_client *client, const struct i2c_device_id *did);
6230 static int sensor_video_probe(struct soc_camera_device *icd, struct i2c_client *client);
6231 static int sensor_g_control(struct v4l2_subdev *sd, struct v4l2_control *ctrl);
6232 static int sensor_s_control(struct v4l2_subdev *sd, struct v4l2_control *ctrl);
6233 static int sensor_g_ext_controls(struct v4l2_subdev *sd,  struct v4l2_ext_controls *ext_ctrl);
6234 static int sensor_s_ext_controls(struct v4l2_subdev *sd,  struct v4l2_ext_controls *ext_ctrl);
6235 static int sensor_suspend(struct soc_camera_device *icd, pm_message_t pm_msg);
6236 static int sensor_resume(struct soc_camera_device *icd);
6237 static int sensor_set_bus_param(struct soc_camera_device *icd,unsigned long flags);
6238 static unsigned long sensor_query_bus_param(struct soc_camera_device *icd);
6239 static int sensor_set_effect(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value);
6240 static int sensor_set_whiteBalance(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value);
6241 static int sensor_deactivate(struct i2c_client *client);
6242
6243 static struct soc_camera_ops sensor_ops =
6244 {
6245     .suspend                     = sensor_suspend,
6246     .resume                       = sensor_resume,
6247     .set_bus_param              = sensor_set_bus_param,
6248     .query_bus_param    = sensor_query_bus_param,
6249     .controls           = sensor_controls,
6250     .menus                         = sensor_menus,
6251     .num_controls               = ARRAY_SIZE(sensor_controls),
6252     .num_menus          = ARRAY_SIZE(sensor_menus),
6253 };
6254
6255 #define COL_FMT(_name, _depth, _fourcc, _colorspace) \
6256         { .name = _name, .depth = _depth, .fourcc = _fourcc, \
6257         .colorspace = _colorspace }
6258
6259 #define JPG_FMT(_name, _depth, _fourcc) \
6260         COL_FMT(_name, _depth, _fourcc, V4L2_COLORSPACE_JPEG)
6261
6262 static const struct soc_camera_data_format sensor_colour_formats[] = {
6263         JPG_FMT(SENSOR_NAME_STRING(UYVY), 16, V4L2_PIX_FMT_UYVY),
6264         JPG_FMT(SENSOR_NAME_STRING(YUYV), 16, V4L2_PIX_FMT_YUYV),
6265 };
6266 enum sensor_work_state
6267 {
6268         sensor_work_ready = 0,
6269         sensor_working,
6270 };
6271 struct sensor_work
6272 {
6273         struct i2c_client *client;
6274         struct delayed_work dwork;
6275         enum sensor_work_state state;
6276 };
6277
6278 typedef struct sensor_info_priv_s
6279 {
6280     int whiteBalance;
6281     int brightness;
6282     int contrast;
6283     int saturation;
6284     int effect;
6285     int scene;
6286     int digitalzoom;
6287     int focus;
6288         int auto_focus;
6289         int affm_reinit;
6290     int flash;
6291     int exposure;
6292     unsigned char mirror;                                        /* HFLIP */
6293     unsigned char flip;                                          /* VFLIP */
6294         bool snap2preview;
6295         bool video2preview;
6296         int capture_w;
6297         int capture_h;
6298         int preview_w;
6299         int preview_h;
6300     struct reginfo *winseqe_cur_addr;
6301         unsigned int pixfmt;
6302         unsigned int enable;
6303         unsigned int funmodule_state;
6304 } sensor_info_priv_t;
6305
6306
6307
6308 struct sensor_parameter
6309 {
6310         unsigned short int preview_maxlines;
6311         unsigned short int preview_exposure;
6312         unsigned short int preview_line_width;
6313         unsigned short int preview_gain;
6314
6315         unsigned short int capture_framerate;
6316         unsigned short int preview_framerate;
6317 };
6318
6319 struct sensor
6320 {
6321     struct v4l2_subdev subdev;
6322     struct i2c_client *client;
6323     sensor_info_priv_t info_priv;
6324         struct sensor_parameter parameter;
6325         struct workqueue_struct *sensor_wq;
6326         struct sensor_work sensor_wk;
6327         struct mutex wq_lock;
6328     int model;  /* V4L2_IDENT_OV* codes from v4l2-chip-ident.h */
6329 #if CONFIG_SENSOR_I2C_NOSCHED
6330         atomic_t tasklock_cnt;
6331 #endif
6332         struct rk29camera_platform_data *sensor_io_request;
6333     struct rk29camera_gpio_res *sensor_gpio_res;
6334 };
6335
6336 static struct sensor* to_sensor(const struct i2c_client *client)
6337 {
6338     return container_of(i2c_get_clientdata(client), struct sensor, subdev);
6339 }
6340
6341 static int sensor_task_lock(struct i2c_client *client, int lock)
6342 {
6343 #if CONFIG_SENSOR_I2C_NOSCHED
6344         int cnt = 3;
6345     struct sensor *sensor = to_sensor(client);
6346
6347         if (lock) {
6348                 if (atomic_read(&sensor->tasklock_cnt) == 0) {
6349                         while ((atomic_read(&client->adapter->bus_lock.count) < 1) && (cnt>0)) {
6350                                 SENSOR_TR("\n %s will obtain i2c in atomic, but i2c bus is locked! Wait...\n",SENSOR_NAME_STRING());
6351                                 msleep(35);
6352                                 cnt--;
6353                         }
6354                         if ((atomic_read(&client->adapter->bus_lock.count) < 1) && (cnt<=0)) {
6355                                 SENSOR_TR("\n %s obtain i2c fail in atomic!!\n",SENSOR_NAME_STRING());
6356                                 goto sensor_task_lock_err;
6357                         }
6358                         preempt_disable();
6359                 }
6360
6361                 atomic_add(1, &sensor->tasklock_cnt);
6362         } else {
6363                 if (atomic_read(&sensor->tasklock_cnt) > 0) {
6364                         atomic_sub(1, &sensor->tasklock_cnt);
6365
6366                         if (atomic_read(&sensor->tasklock_cnt) == 0)
6367                                 preempt_enable();
6368                 }
6369         }
6370     
6371         return 0;
6372 sensor_task_lock_err:
6373         return -1;    
6374 #else
6375     return 0;
6376 #endif
6377 }
6378
6379 /* sensor register write */
6380
6381 #if CONFIG_SENSOR_WRITE_REGS
6382 static int sensor_write_regs(struct i2c_client *client,  u8 *reg_info, int num)
6383 {
6384         int err=0,cnt;
6385         struct i2c_msg msg[1];
6386
6387         msg->len = num; 
6388         msg->addr = client->addr;       
6389         msg->flags = client->flags;     
6390         msg->buf = reg_info;    
6391         msg->scl_rate = CONFIG_SENSOR_I2C_SPEED;         /* ddl@rock-chips.com : 100kHz */      
6392         msg->read_type = 0;               /* fpga i2c:0==I2C_NORMAL : direct use number not enum for don't want include spi_fpga.h */   
6393
6394         
6395         cnt= 3; 
6396         err = -EAGAIN;
6397         
6398         while ((cnt-- > 0) && (err < 0)) {                       /* ddl@rock-chips.com :  Transfer again if transent is failed   */             
6399                 err = i2c_transfer(client->adapter, msg, 1);            
6400                 if (err >= 0) {                     
6401                         return 0;               
6402                 } else {                            
6403                         SENSOR_TR("\n %s write reg failed, try to write again!\n",      SENSOR_NAME_STRING());                      
6404                         udelay(10);     
6405                 }       
6406         }
6407         
6408         return err;
6409
6410 }
6411
6412 #endif
6413
6414 static int sensor_write(struct i2c_client *client, struct reginfo *reg_info)
6415 {
6416     int err=0,cnt;
6417     u8 buf[4];
6418     struct i2c_msg msg[1];
6419
6420         switch (reg_info->reg)
6421         {
6422                 case SEQUENCE_WAIT_MS:
6423                 {
6424                         if (in_atomic())
6425                                 mdelay(reg_info->val);
6426                         else
6427                                 msleep(reg_info->val);
6428                         break;
6429                 }
6430
6431                 case SEQUENCE_WAIT_US:
6432                 {
6433                         udelay(reg_info->val);
6434                         break;
6435                 }
6436
6437                 case SEQUENCE_PROPERTY:
6438                 {
6439                         break;
6440                 }
6441                 default:
6442                 {
6443                     buf[0] = reg_info->reg >> 8;
6444                     buf[1] = reg_info->reg & 0xFF;
6445                         if (reg_info->reg_len == WORD_LEN) {
6446                                 buf[2] = reg_info->val >> 8;
6447                                 buf[3] = reg_info->val & 0xFF;
6448
6449                                 msg->len = 4;
6450                         } else if (reg_info->reg_len == BYTE_LEN) {
6451                                 buf[2] = reg_info->val;
6452                                 msg->len = 3;
6453                         }
6454
6455                     msg->addr = client->addr;
6456                     msg->flags = client->flags;
6457                     msg->buf = buf;
6458                     msg->scl_rate = CONFIG_SENSOR_I2C_SPEED;         /* ddl@rock-chips.com : 100kHz */
6459                     msg->read_type = 0;               /* fpga i2c:0==I2C_NORMAL : direct use number not enum for don't want include spi_fpga.h */
6460
6461                     cnt = 3;
6462                     err = -EAGAIN;
6463
6464                     while ((cnt-- > 0) && (err < 0)) {                       /* ddl@rock-chips.com :  Transfer again if transent is failed   */
6465                         err = i2c_transfer(client->adapter, msg, 1);
6466
6467                         if (err >= 0) {
6468                             return 0;
6469                         } else {
6470                             SENSOR_TR("\n %s write reg(0x%x, val:0x%x) failed, try to write again!\n",SENSOR_NAME_STRING(),reg_info->reg, reg_info->val);
6471                             udelay(10);
6472                         }
6473                     }
6474                 }
6475         }
6476     return err;
6477 }
6478
6479 /* sensor register read */
6480 static int sensor_read(struct i2c_client *client, u16 reg, u16 *val)
6481 {
6482     int err,cnt;
6483     u8 buf[2];
6484     struct i2c_msg msg[2];
6485
6486     buf[0] = reg >> 8;
6487     buf[1] = reg & 0xFF;
6488
6489     msg[0].addr = client->addr;
6490     msg[0].flags = client->flags;
6491     msg[0].buf = buf;
6492     msg[0].len = sizeof(buf);
6493     msg[0].scl_rate = CONFIG_SENSOR_I2C_SPEED;       /* ddl@rock-chips.com : 100kHz */
6494     msg[0].read_type = 2;   /* fpga i2c:0==I2C_NO_STOP : direct use number not enum for don't want include spi_fpga.h */
6495
6496     msg[1].addr = client->addr;
6497     msg[1].flags = client->flags|I2C_M_RD;
6498     msg[1].buf = buf;
6499     msg[1].len = 2;
6500     msg[1].scl_rate = CONFIG_SENSOR_I2C_SPEED;                       /* ddl@rock-chips.com : 100kHz */
6501     msg[1].read_type = 2;                             /* fpga i2c:0==I2C_NO_STOP : direct use number not enum for don't want include spi_fpga.h */
6502
6503     cnt = 3;
6504     err = -EAGAIN;
6505     while ((cnt-- > 0) && (err < 0)) {                       /* ddl@rock-chips.com :  Transfer again if transent is failed   */
6506         err = i2c_transfer(client->adapter, msg, 2);
6507
6508         if (err >= 0) {
6509             *val = buf[0];
6510             return 0;
6511         } else {
6512                 SENSOR_TR("\n %s read reg(0x%x val:0x%x) failed, try to read again! \n",SENSOR_NAME_STRING(),reg, *val);
6513             udelay(10);
6514         }
6515     }
6516
6517     return err;
6518 }
6519
6520 /* write a array of registers  */
6521 static int sensor_write_array(struct i2c_client *client, struct reginfo *regarray)
6522 {
6523     int err = 0, cnt;
6524     int i = 0;
6525 #if CONFIG_SENSOR_WRITE_REGS    
6526         int j = 0, reg_num;
6527         u8 *ptemp, *phead;
6528         int reg_length = 2;
6529 #endif
6530
6531 #if CONFIG_SENSOR_I2C_RDWRCHK
6532         char valchk;
6533 #endif
6534
6535         cnt = 0;
6536         if (sensor_task_lock(client, 1) < 0)
6537                 goto sensor_write_array_end;
6538     while (regarray[i].reg != SEQUENCE_END) {
6539 #if CONFIG_SENSOR_WRITE_REGS
6540                 j = i;          
6541                 reg_num = 1;    
6542                 
6543                 if(WORD_LEN == regarray[i].reg_len) {
6544                         reg_length = 0x0002;
6545                 } else if (BYTE_LEN == regarray[i].reg_len) {
6546                         reg_length = 0x0001;
6547                 }
6548                                 
6549                 while((regarray[i].reg + reg_length) == regarray[i+1].reg) {                    
6550                         i++;                    
6551                         reg_num++;              
6552                 }
6553                 
6554                 if(reg_num > 1) {
6555                         int size_num;
6556                         
6557                         if(0x0002 == reg_length) {
6558                                 size_num = 2*(reg_num + 1);
6559                         } else {
6560                                 size_num = reg_num + 1;
6561                         }
6562                         
6563                         ptemp = phead = (u8*)kmalloc(size_num*sizeof(u8),GFP_KERNEL);
6564             if (phead == NULL) {
6565                                 SENSOR_TR("%s write registers allocate memory fail!!!\n",SENSOR_NAME_STRING());
6566                 i = j;
6567                 err = sensor_write(client, &regarray[i]);                
6568                         } else {                        
6569                         *phead = regarray[j].reg >> 8;                  
6570                         *(ptemp+1) = regarray[j].reg & 0xFF;                    
6571                                                 
6572                         ptemp += 2;                     
6573                                         
6574                         if(0x0002 == reg_length) {
6575                                 int temp = 0;   
6576                                 for( ; reg_num > 0; reg_num --, j++, temp ++) {
6577                                         *(ptemp + 2*temp) =  regarray[j].val >> 8;                              
6578                                         *(ptemp + 2*temp + 1) =  regarray[j].val & 0xFF;        
6579                                 }
6580                         } else {
6581                                 for( ; reg_num > 0; reg_num --, j++)
6582                                 {
6583                                         *ptemp ++ = regarray[j].val;
6584                                 }
6585                         }
6586                         
6587                         ptemp = phead;
6588                         err = sensor_write_regs(client, ptemp,size_num);                        
6589                         kfree(phead);   
6590                         }
6591                 } else {                
6592                         err = sensor_write(client, &regarray[i]);       
6593                 }
6594 #else
6595                 err = sensor_write(client, &regarray[i]);
6596 #endif
6597         if (err < 0) {
6598             if (cnt-- > 0) {
6599                             SENSOR_TR("%s..write failed current reg:0x%x, Write array again !\n", SENSOR_NAME_STRING(),regarray[i].reg);
6600                                 i = 0;
6601                                 continue;
6602             } else {
6603                 SENSOR_TR("%s..write array failed!!!\n", SENSOR_NAME_STRING());
6604                 err = -EPERM;
6605                                 goto sensor_write_array_end;
6606             }
6607         } else {
6608         #if CONFIG_SENSOR_I2C_RDWRCHK
6609                         sensor_read(client, regarray[i].reg, &valchk);
6610                         if (valchk != regarray[i].val)
6611                                 SENSOR_TR("%s Reg:0x%x write(0x%x, 0x%x) fail\n",SENSOR_NAME_STRING(), regarray[i].reg, regarray[i].val, valchk);
6612                 #endif
6613         }
6614
6615         i++;
6616     }
6617
6618 sensor_write_array_end:
6619         sensor_task_lock(client,0);
6620     return err;
6621 }
6622 #if CONFIG_SENSOR_I2C_RDWRCHK
6623 static int sensor_readchk_array(struct i2c_client *client, struct reginfo *regarray)
6624 {
6625     int cnt;
6626     int i = 0;
6627         char valchk;
6628
6629         cnt = 0;
6630         valchk = 0;
6631     while (regarray[i].reg != SEQUENCE_END)
6632     {
6633                 sensor_read(client, regarray[i].reg, &valchk);
6634                 if (valchk != regarray[i].val)
6635                         SENSOR_TR("%s Reg:0x%x read(0x%x, 0x%x) error\n",SENSOR_NAME_STRING(), regarray[i].reg, regarray[i].val, valchk);
6636
6637         i++;
6638     }
6639     return 0;
6640 }
6641 #endif
6642 #if CONFIG_SENSOR_Focus
6643 static struct reginfo sensor_af_init0[] =
6644 {
6645         {0x098E, 0x4403, WORD_LEN, 0}, 
6646         {0x0990, 0x8001, WORD_LEN, 0}, 
6647         {0x098E, 0x440B, WORD_LEN, 0}, 
6648         {0x0990, 0x0000, WORD_LEN, 0}, //032
6649         {0x098E, 0x440D, WORD_LEN, 0}, 
6650         {0x0990, 0x03B6, WORD_LEN, 0}, 
6651         {0x098E, 0x8400, WORD_LEN, 0}, 
6652         {0x0990, 0x0006, WORD_LEN, 0}, 
6653
6654
6655         {0x098E, 0x3003, WORD_LEN, 0}, 
6656         {0x0990, 0x0001, WORD_LEN, 0}, 
6657         {0x098E, 0xB024, WORD_LEN, 0}, 
6658         {0x0990, 0x0000, WORD_LEN, 0}, 
6659         {0x098E, 0x3003, WORD_LEN, 0}, 
6660         {0x0990, 0x0010, WORD_LEN, 0}, 
6661         {0x098E, 0xB019, WORD_LEN, 0}, 
6662         {0x0990, 0x0001, WORD_LEN, 0}, 
6663         {0x098E, 0xB019, WORD_LEN, 0}, 
6664         {0x0990, 0x0001, WORD_LEN, 0}, 
6665         {0x098E, 0xB019, WORD_LEN, 0}, 
6666         {0x0990, 0x0001, WORD_LEN, 0}, 
6667         {SEQUENCE_END, 0x00}
6668 };
6669
6670
6671 static struct reginfo sensor_af_trigger[] =
6672 {
6673         {SEQUENCE_END, 0x00}
6674 };
6675 static int sensor_af_single(struct i2c_client *client)
6676 {
6677         int ret = 0;
6678
6679         ret = sensor_write_array(client, sensor_af_trigger);
6680         if (ret<0)
6681         {
6682                 SENSOR_TR("%s sensor auto focus trigger fail!!\n",SENSOR_NAME_STRING());
6683                 goto sensor_af_single_end;
6684         }else{
6685                 SENSOR_DG("%s sensor auto focus trigger success!\n",SENSOR_NAME_STRING());
6686         }
6687 sensor_af_single_end:
6688         return ret;
6689 }
6690
6691 static int sensor_af_const(struct i2c_client *client)
6692 {
6693         int ret = 0;
6694
6695 //sensor_af_const_end:
6696         return ret;
6697 }
6698
6699 static int sensor_af_zoneupdate(struct i2c_client *client)
6700 {
6701         int ret = 0;
6702
6703         return ret;
6704 }
6705
6706 static int sensor_af_init(struct i2c_client *client)
6707 {
6708         int ret = 0;
6709
6710         ret = sensor_write_array(client, sensor_af_init0);
6711         if (ret<0) {
6712                 SENSOR_TR("%s sensor auto focus init_0 fail!!",SENSOR_NAME_STRING());
6713         }
6714
6715         return ret;
6716 }
6717 #endif
6718
6719 static int sensor_ioctrl(struct soc_camera_device *icd,enum rk29sensor_power_cmd cmd, int on)
6720 {
6721         struct soc_camera_link *icl = to_soc_camera_link(icd);
6722         int ret = 0;
6723
6724     SENSOR_DG("%s %s  cmd(%d) on(%d)\n",SENSOR_NAME_STRING(),__FUNCTION__,cmd,on);
6725         switch (cmd)
6726         {
6727                 case Sensor_PowerDown:
6728                 {
6729                         if (icl->powerdown) {
6730                                 ret = icl->powerdown(icd->pdev, on);
6731                                 if (ret == RK29_CAM_IO_SUCCESS) {
6732                                         if (on == 0) {
6733                                                 mdelay(2);
6734                                                 if (icl->reset)
6735                                                         icl->reset(icd->pdev);
6736                                         }
6737                                 } else if (ret == RK29_CAM_EIO_REQUESTFAIL) {
6738                                         ret = -ENODEV;
6739                                         goto sensor_power_end;
6740                                 }
6741                         }
6742                         break;
6743                 }
6744                 case Sensor_Flash:
6745                 {
6746                         struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
6747                 struct sensor *sensor = to_sensor(client);
6748
6749                         if (sensor->sensor_io_request && sensor->sensor_io_request->sensor_ioctrl) {
6750                                 sensor->sensor_io_request->sensor_ioctrl(icd->pdev,Cam_Flash, on);
6751                         }
6752                         break;
6753                 }
6754                 default:
6755                 {
6756                         SENSOR_TR("%s %s cmd(0x%x) is unknown!",SENSOR_NAME_STRING(),__FUNCTION__,cmd);
6757                         break;
6758                 }
6759         }
6760
6761 sensor_power_end:
6762         return ret;
6763 }
6764 static int sensor_init(struct v4l2_subdev *sd, u32 val)
6765 {
6766     struct i2c_client *client = sd->priv;
6767     struct soc_camera_device *icd = client->dev.platform_data;
6768     struct sensor *sensor = to_sensor(client);
6769         const struct v4l2_queryctrl *qctrl;
6770     int ret,pid = 0;
6771 #if (SENSOR_RESET_REG != SEQUENCE_END)
6772     struct reginfo reg_info;
6773 #endif
6774
6775         if (sensor_ioctrl(icd, Sensor_PowerDown, 0) < 0) {
6776                 ret = -ENODEV;
6777                 goto sensor_INIT_ERR;
6778         }
6779
6780     /* soft reset */
6781         if (sensor_task_lock(client,1)<0)
6782                 goto sensor_INIT_ERR;
6783
6784 #if (SENSOR_RESET_REG != SEQUENCE_END)
6785         reg_info.reg = SENSOR_RESET_REG;
6786         reg_info.val = SENSOR_RESET_VAL;
6787         reg_info.reg_len = SENSOR_RESET_REG_LEN;
6788     ret = sensor_write(client, &reg_info);
6789     if (ret != 0) {
6790         SENSOR_TR("%s soft reset sensor failed\n",SENSOR_NAME_STRING());
6791         ret = -ENODEV;
6792                 goto sensor_INIT_ERR;
6793     }
6794
6795     mdelay(5);  //delay 5 microseconds
6796 #endif
6797         /* check if it is an sensor sensor */
6798 #if (SENSOR_ID_REG != SEQUENCE_END)
6799     ret = sensor_read(client, SENSOR_ID_REG, &pid);
6800     if (ret != 0) {
6801         SENSOR_TR("read chip id failed\n");
6802         ret = -ENODEV;
6803         goto sensor_INIT_ERR;
6804     }
6805         
6806     SENSOR_DG("\n %s  pid = 0x%x \n", SENSOR_NAME_STRING(), pid);
6807 #else
6808         pid = SENSOR_ID;
6809 #endif
6810     if (pid == SENSOR_ID) {
6811         sensor->model = SENSOR_V4L2_IDENT;
6812     } else {
6813         SENSOR_TR("error: %s mismatched   pid = 0x%x\n", SENSOR_NAME_STRING(), pid);
6814         ret = -ENODEV;
6815         goto sensor_INIT_ERR;
6816     }
6817         
6818     ret = sensor_write_array(client, sensor_init_data);
6819     if (ret != 0)
6820     {
6821         SENSOR_TR("error: %s initial failed\n",SENSOR_NAME_STRING());
6822         goto sensor_INIT_ERR;
6823     }   
6824         sensor_task_lock(client,0);
6825         
6826     sensor->info_priv.preview_w = SENSOR_INIT_WIDTH;
6827     sensor->info_priv.preview_h = SENSOR_INIT_HEIGHT;
6828     sensor->info_priv.capture_w = SENSOR_MAX_WIDTH;
6829     sensor->info_priv.capture_h = SENSOR_MAX_HEIGHT;
6830     sensor->info_priv.winseqe_cur_addr  = SENSOR_INIT_WINSEQADR;
6831         sensor->info_priv.pixfmt = SENSOR_INIT_PIXFMT;
6832     /* sensor sensor information for initialization  */
6833         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_DO_WHITE_BALANCE);
6834         if (qctrl)
6835         sensor->info_priv.whiteBalance = qctrl->default_value;
6836         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_BRIGHTNESS);
6837         if (qctrl)
6838         sensor->info_priv.brightness = qctrl->default_value;
6839         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_EFFECT);
6840         if (qctrl)
6841         sensor->info_priv.effect = qctrl->default_value;
6842         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_EXPOSURE);
6843         if (qctrl)
6844         sensor->info_priv.exposure = qctrl->default_value;
6845
6846         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_SATURATION);
6847         if (qctrl)
6848         sensor->info_priv.saturation = qctrl->default_value;
6849         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_CONTRAST);
6850         if (qctrl)
6851         sensor->info_priv.contrast = qctrl->default_value;
6852         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_HFLIP);
6853         if (qctrl)
6854         sensor->info_priv.mirror = qctrl->default_value;
6855         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_VFLIP);
6856         if (qctrl)
6857         sensor->info_priv.flip = qctrl->default_value;
6858         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_SCENE);
6859         if (qctrl)
6860         sensor->info_priv.scene = qctrl->default_value;
6861         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_ZOOM_ABSOLUTE);
6862         if (qctrl)
6863         sensor->info_priv.digitalzoom = qctrl->default_value;
6864
6865     /* ddl@rock-chips.com : if sensor support auto focus and flash, programer must run focus and flash code  */
6866         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_FOCUS_ABSOLUTE);
6867         if (qctrl)
6868         sensor->info_priv.focus = qctrl->default_value;
6869         #if CONFIG_SENSOR_Focus
6870         if (sensor_af_init(client) < 0) {
6871                 sensor->info_priv.funmodule_state &= ~SENSOR_AF_IS_OK;
6872         } else {
6873                 sensor->info_priv.funmodule_state |= SENSOR_AF_IS_OK;
6874         }
6875         #endif
6876         #if CONFIG_SENSOR_Flash
6877         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_FLASH);
6878         if (qctrl)
6879         {
6880                 sensor->info_priv.flash = qctrl->default_value;
6881         }
6882     #endif
6883     SENSOR_DG("\n%s..%s.. icd->width = %d.. icd->height %d\n",SENSOR_NAME_STRING(),((val == 0)?__FUNCTION__:"sensor_reinit"),icd->user_width,icd->user_height);
6884
6885     return 0;
6886 sensor_INIT_ERR:
6887         sensor_task_lock(client,0);
6888         sensor_deactivate(client);
6889     return ret;
6890 }
6891 static int sensor_deactivate(struct i2c_client *client)
6892 {
6893         struct soc_camera_device *icd = client->dev.platform_data;
6894         u16 reg_val = 0;
6895     struct reginfo reg_info;
6896     
6897         SENSOR_DG("\n%s..%s.. Enter\n",SENSOR_NAME_STRING(),__FUNCTION__);
6898
6899         /* ddl@rock-chips.com : all sensor output pin must change to input for other sensor */  
6900         sensor_task_lock(client, 1);
6901         
6902         sensor_read( client, 0x001a, &reg_val);
6903         reg_info.reg = 0x001a;
6904         reg_info.val = reg_val & (~0x0200);//reg_val & (~0x02);
6905         reg_info.reg_len = 0x04;
6906         sensor_write(client, &reg_info);
6907         
6908         sensor_task_lock(client, 0);
6909         sensor_ioctrl(icd, Sensor_PowerDown, 1);
6910         /* ddl@rock-chips.com : sensor config init width , because next open sensor quickly(soc_camera_open -> Try to configure with default parameters) */
6911         icd->user_width = SENSOR_INIT_WIDTH;
6912     icd->user_height = SENSOR_INIT_HEIGHT;
6913         msleep(100);
6914         return 0;
6915 }
6916 static  struct reginfo sensor_power_down_sequence[]=
6917 {
6918     {0x00,0x00}
6919 };
6920 static int sensor_suspend(struct soc_camera_device *icd, pm_message_t pm_msg)
6921 {
6922     int ret;
6923     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
6924
6925     if (pm_msg.event == PM_EVENT_SUSPEND) {
6926         SENSOR_DG("\n %s Enter Suspend.. \n", SENSOR_NAME_STRING());
6927         ret = sensor_write_array(client, sensor_power_down_sequence) ;
6928         if (ret != 0) {
6929             SENSOR_TR("\n %s..%s WriteReg Fail.. \n", SENSOR_NAME_STRING(),__FUNCTION__);
6930             return ret;
6931         } else {
6932             ret = sensor_ioctrl(icd, Sensor_PowerDown, 1);
6933             if (ret < 0) {
6934                             SENSOR_TR("\n %s suspend fail for turn on power!\n", SENSOR_NAME_STRING());
6935                 return -EINVAL;
6936             }
6937         }
6938     } else {
6939         SENSOR_TR("\n %s cann't suppout Suspend..\n",SENSOR_NAME_STRING());
6940         return -EINVAL;
6941     }
6942
6943     return 0;
6944 }
6945
6946 static int sensor_resume(struct soc_camera_device *icd)
6947 {
6948         int ret;
6949
6950     ret = sensor_ioctrl(icd, Sensor_PowerDown, 0);
6951     if (ret < 0) {
6952                 SENSOR_TR("\n %s resume fail for turn on power!\n", SENSOR_NAME_STRING());
6953         return -EINVAL;
6954     }
6955
6956         SENSOR_DG("\n %s Enter Resume.. \n", SENSOR_NAME_STRING());
6957         return 0;
6958 }
6959
6960 static int sensor_set_bus_param(struct soc_camera_device *icd,
6961                                 unsigned long flags)
6962 {
6963
6964     return 0;
6965 }
6966
6967 static unsigned long sensor_query_bus_param(struct soc_camera_device *icd)
6968 {
6969     struct soc_camera_link *icl = to_soc_camera_link(icd);
6970     unsigned long flags = SENSOR_BUS_PARAM;
6971
6972     return soc_camera_apply_sensor_flags(icl, flags);
6973 }
6974
6975 static int sensor_g_fmt(struct v4l2_subdev *sd, struct v4l2_format *f)
6976 {
6977     struct i2c_client *client = sd->priv;
6978     struct soc_camera_device *icd = client->dev.platform_data;
6979     struct sensor *sensor = to_sensor(client);
6980     struct v4l2_pix_format *pix = &f->fmt.pix;
6981     
6982     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
6983
6984     pix->width          = icd->user_width;
6985     pix->height         = icd->user_height;
6986     pix->pixelformat    = sensor->info_priv.pixfmt;
6987     pix->field          = V4L2_FIELD_NONE;
6988     pix->colorspace             = V4L2_COLORSPACE_JPEG;
6989
6990     return 0;
6991 }
6992 static bool sensor_fmt_capturechk(struct v4l2_subdev *sd, struct v4l2_format *f)
6993 {
6994     bool ret = false;
6995
6996         if ((f->fmt.pix.width == 1024) && (f->fmt.pix.height == 768)) {
6997                 ret = true;
6998         } else if ((f->fmt.pix.width == 1280) && (f->fmt.pix.height == 1024)) {
6999                 ret = true;
7000         } else if ((f->fmt.pix.width == 1600) && (f->fmt.pix.height == 1200)) {
7001                 ret = true;
7002         } else if ((f->fmt.pix.width == 2048) && (f->fmt.pix.height == 1536)) {
7003                 ret = true;
7004         } 
7005
7006         if (ret == true)
7007                 SENSOR_DG("------------%s   ,%dx%d is capture format\n", __FUNCTION__, f->fmt.pix.width, f->fmt.pix.height);
7008         return ret;
7009 }
7010
7011 static bool sensor_fmt_videochk(struct v4l2_subdev *sd, struct v4l2_format *f)
7012 {
7013     bool ret = false;
7014
7015         if ((f->fmt.pix.width == 1280) && (f->fmt.pix.height == 720)) {
7016                 ret = true;
7017         } else if ((f->fmt.pix.width == 1920) && (f->fmt.pix.height == 1080)) {
7018                 ret = true;
7019         }
7020
7021         if (ret == true)
7022                 SENSOR_DG("%s %dx%d is video format\n", __FUNCTION__, f->fmt.pix.width, f->fmt.pix.height);
7023         return ret;
7024 }
7025 static struct reginfo* sensor_fmt_catch(int set_w, int set_h, int *ret_w, int *ret_h)
7026 {
7027         struct reginfo *winseqe_set_addr = NULL;
7028     
7029     if (set_w*240 == set_h*320) {        
7030         if (((set_w >= 320) && (set_h >= 240)) && (sensor_qvga[0].reg!=SEQUENCE_END)) {
7031             winseqe_set_addr = sensor_qvga;
7032             *ret_w = 320;
7033             *ret_h = 240;
7034         } 
7035         if (((set_w >= 640) && (set_h >= 480)) && (sensor_vga[0].reg!=SEQUENCE_END)) {
7036             winseqe_set_addr = sensor_vga;
7037             *ret_w = 640;
7038             *ret_h = 480;
7039         } 
7040         if (((set_w >= 800) && (set_h >= 600)) && (sensor_svga[0].reg!=SEQUENCE_END)) {
7041             winseqe_set_addr = sensor_svga;
7042             *ret_w = 800;
7043             *ret_h = 600;
7044         } 
7045         if (((set_w >= 1024) && (set_h >= 768)) && (sensor_xga[0].reg!=SEQUENCE_END)) {
7046             winseqe_set_addr = sensor_xga;
7047             *ret_w = 1024;
7048             *ret_h = 768;
7049         } 
7050         if (((set_w >= 1280) && (set_h >= 1024)) && (sensor_sxga[0].reg!=SEQUENCE_END)) {
7051             winseqe_set_addr = sensor_sxga;
7052             *ret_w = 1280;
7053             *ret_h = 1024;
7054         } 
7055         if (((set_w >= 1600) && (set_h >= 1200)) && (sensor_uxga[0].reg!=SEQUENCE_END)) {
7056             winseqe_set_addr = sensor_uxga;
7057             *ret_w = 1600;
7058             *ret_h = 1200;
7059         } 
7060         if (((set_w >= 2048) && (set_h >= 1536)) && (sensor_qxga[0].reg!=SEQUENCE_END)) {
7061             winseqe_set_addr = sensor_qxga;
7062             *ret_w = 2048;
7063             *ret_h = 1536;
7064         } 
7065        
7066         if (winseqe_set_addr == NULL) {
7067             if (((set_w <= 176) && (set_h <= 144)) && (sensor_qcif[0].reg!=SEQUENCE_END)) {
7068                         winseqe_set_addr = sensor_qcif;
7069                 *ret_w = 176;
7070                 *ret_h = 144;
7071                 } else if (((set_w <= 352) && (set_h<= 288)) && (sensor_cif[0].reg!=SEQUENCE_END)) {
7072                 winseqe_set_addr = sensor_cif;
7073                 *ret_w = 352;
7074                 *ret_h = 288;
7075             }
7076
7077             if (((set_w <= 1280) && (set_h <= 720)) && (sensor_720p[0].reg!=SEQUENCE_END)) {
7078                 winseqe_set_addr = sensor_720p;
7079                 *ret_w = 1280;
7080                 *ret_h = 720;
7081             } else if (((set_w <= 1920) && (set_h <= 1080)) && (sensor_1080p[0].reg!=SEQUENCE_END)) {
7082                 winseqe_set_addr = sensor_1080p;
7083                 *ret_w = 1920;
7084                 *ret_h = 1080;
7085             } 
7086         }
7087
7088     } else if (set_w*288 == set_h*352) {
7089         if (((set_w >= 176) && (set_h >= 144)) && (sensor_qcif[0].reg!=SEQUENCE_END)) {
7090                 winseqe_set_addr = sensor_qcif;
7091             *ret_w = 176;
7092             *ret_h = 144;
7093         } else if (((set_w >= 352) && (set_h >= 288)) && (sensor_cif[0].reg!=SEQUENCE_END)) {
7094             winseqe_set_addr = sensor_cif;
7095             *ret_w = 352;
7096             *ret_h = 288;
7097         }
7098
7099         if (winseqe_set_addr == NULL) {
7100             if (((set_w <= 320) && (set_h <= 240)) && (sensor_qvga[0].reg!=SEQUENCE_END)) {
7101                 winseqe_set_addr = sensor_qvga;
7102                 *ret_w = 320;
7103                 *ret_h = 240;
7104                 } else if (((set_w <= 640) && (set_h <= 480)) && (sensor_vga[0].reg!=SEQUENCE_END)) {
7105                 winseqe_set_addr = sensor_vga;
7106                 *ret_w = 640;
7107                 *ret_h = 480;
7108             } else if (((set_w <= 800) && (set_h <= 600)) && (sensor_svga[0].reg!=SEQUENCE_END)) {
7109                 winseqe_set_addr = sensor_svga;
7110                 *ret_w = 800;
7111                 *ret_h = 600;
7112             } else if (((set_w <= 1024) && (set_h <= 768)) && (sensor_xga[0].reg!=SEQUENCE_END)) {
7113                 winseqe_set_addr = sensor_xga;
7114                 *ret_w = 1024;
7115                 *ret_h = 768;
7116                 } else if (((set_w <= 1280) && (set_h <= 1024)) && (sensor_sxga[0].reg!=SEQUENCE_END)) {
7117                 winseqe_set_addr = sensor_sxga;
7118                 *ret_w = 1280;
7119                 *ret_h = 1024;
7120             } else if (((set_w <= 1600) && (set_h <= 1200)) && (sensor_uxga[0].reg!=SEQUENCE_END)) {
7121                 winseqe_set_addr = sensor_uxga;
7122                 *ret_w = 1600;
7123                 *ret_h = 1200;
7124                 } else if (((set_w <= 2048) && (set_h <= 1536)) && (sensor_qxga[0].reg!=SEQUENCE_END)) {
7125                 winseqe_set_addr = sensor_qxga;
7126                 *ret_w = 2048;
7127                 *ret_h = 1536;
7128             }       
7129
7130
7131             if (((set_w <= 1280) && (set_h <= 720)) && (sensor_720p[0].reg!=SEQUENCE_END)) {
7132                 winseqe_set_addr = sensor_720p;
7133                 *ret_w = 1280;
7134                 *ret_h = 720;
7135             } else if (((set_w <= 1920) && (set_h <= 1080)) && (sensor_1080p[0].reg!=SEQUENCE_END)) {
7136                 winseqe_set_addr = sensor_1080p;
7137                 *ret_w = 1920;
7138                 *ret_h = 1080;
7139             }  
7140         }
7141     } else if (set_w*720 == set_h*1280) {
7142         if (((set_w >= 1280) && (set_h >= 720)) && (sensor_720p[0].reg!=SEQUENCE_END)) {
7143             winseqe_set_addr = sensor_720p;
7144             *ret_w = 1280;
7145             *ret_h = 720;
7146         } else if (((set_w >= 1920) && (set_h >= 1080)) && (sensor_1080p[0].reg!=SEQUENCE_END)) {
7147             winseqe_set_addr = sensor_1080p;
7148             *ret_w = 1920;
7149             *ret_h = 1080;
7150         }
7151
7152         if (winseqe_set_addr == NULL) {
7153     
7154             if (((set_w <= 176) && (set_h <= 144)) && (sensor_qcif[0].reg!=SEQUENCE_END)) {
7155                         winseqe_set_addr = sensor_qcif;
7156                 *ret_w = 176;
7157                 *ret_h = 144;
7158                 } else if (((set_w <= 352) && (set_h<= 288)) && (sensor_cif[0].reg!=SEQUENCE_END)) {
7159                 winseqe_set_addr = sensor_cif;
7160                 *ret_w = 352;
7161                 *ret_h = 288;
7162             }
7163         
7164             if (((set_w <= 320) && (set_h <= 240)) && (sensor_qvga[0].reg!=SEQUENCE_END)) {
7165                 winseqe_set_addr = sensor_qvga;
7166                 *ret_w = 320;
7167                 *ret_h = 240;
7168                 } else if (((set_w <= 640) && (set_h <= 480)) && (sensor_vga[0].reg!=SEQUENCE_END)) {
7169                 winseqe_set_addr = sensor_vga;
7170                 *ret_w = 640;
7171                 *ret_h = 480;
7172             } else if (((set_w <= 800) && (set_h <= 600)) && (sensor_svga[0].reg!=SEQUENCE_END)) {
7173                 winseqe_set_addr = sensor_svga;
7174                 *ret_w = 800;
7175                 *ret_h = 600;
7176             } else if (((set_w <= 1024) && (set_h <= 768)) && (sensor_xga[0].reg!=SEQUENCE_END)) {
7177                 winseqe_set_addr = sensor_xga;
7178                 *ret_w = 1024;
7179                 *ret_h = 768;
7180                 } else if (((set_w <= 1280) && (set_h <= 1024)) && (sensor_sxga[0].reg!=SEQUENCE_END)) {
7181                 winseqe_set_addr = sensor_sxga;
7182                 *ret_w = 1280;
7183                 *ret_h = 1024;
7184             } else if (((set_w <= 1600) && (set_h <= 1200)) && (sensor_uxga[0].reg!=SEQUENCE_END)) {
7185                 winseqe_set_addr = sensor_uxga;
7186                 *ret_w = 1600;
7187                 *ret_h = 1200;
7188                 } else if (((set_w <= 2048) && (set_h <= 1536)) && (sensor_qxga[0].reg!=SEQUENCE_END)) {
7189                 winseqe_set_addr = sensor_qxga;
7190                 *ret_w = 2048;
7191                 *ret_h = 1536;
7192             } 
7193         }
7194     } else {
7195         if (((set_w <= 176) && (set_h <= 144)) && (sensor_qcif[0].reg!=SEQUENCE_END)) {
7196                 winseqe_set_addr = sensor_qcif;
7197             *ret_w = 176;
7198             *ret_h = 144;
7199         } else if (((set_w <= 320) && (set_h <= 240)) && (sensor_qvga[0].reg!=SEQUENCE_END)) {
7200             winseqe_set_addr = sensor_qvga;
7201             *ret_w = 320;
7202             *ret_h = 240;
7203         } else if (((set_w <= 352) && (set_h<= 288)) && (sensor_cif[0].reg!=SEQUENCE_END)) {
7204             winseqe_set_addr = sensor_cif;
7205             *ret_w = 352;
7206             *ret_h = 288;
7207         } else if (((set_w <= 640) && (set_h <= 480)) && (sensor_vga[0].reg!=SEQUENCE_END)) {
7208             winseqe_set_addr = sensor_vga;
7209             *ret_w = 640;
7210             *ret_h = 480;
7211         } else if (((set_w <= 800) && (set_h <= 600)) && (sensor_svga[0].reg!=SEQUENCE_END)) {
7212             winseqe_set_addr = sensor_svga;
7213             *ret_w = 800;
7214             *ret_h = 600;
7215         } else if (((set_w <= 1024) && (set_h <= 768)) && (sensor_xga[0].reg!=SEQUENCE_END)) {
7216             winseqe_set_addr = sensor_xga;
7217             *ret_w = 1024;
7218             *ret_h = 768;
7219         } else if (((set_w <= 1280) && (set_h <= 720)) && (sensor_720p[0].reg!=SEQUENCE_END)) {
7220             winseqe_set_addr = sensor_720p;
7221             *ret_w = 1280;
7222             *ret_h = 720;
7223         } else if (((set_w <= 1280) && (set_h <= 1024)) && (sensor_sxga[0].reg!=SEQUENCE_END)) {
7224             winseqe_set_addr = sensor_sxga;
7225             *ret_w = 1280;
7226             *ret_h = 1024;
7227         } else if (((set_w <= 1600) && (set_h <= 1200)) && (sensor_uxga[0].reg!=SEQUENCE_END)) {
7228             winseqe_set_addr = sensor_uxga;
7229             *ret_w = 1600;
7230             *ret_h = 1200;
7231         } else if (((set_w <= 1920) && (set_h <= 1080)) && (sensor_1080p[0].reg!=SEQUENCE_END)) {
7232             winseqe_set_addr = sensor_1080p;
7233             *ret_w = 1920;
7234             *ret_h = 1080;
7235         } else if (((set_w <= 2048) && (set_h <= 1536)) && (sensor_qxga[0].reg!=SEQUENCE_END)) {
7236             winseqe_set_addr = sensor_qxga;
7237             *ret_w = 2048;
7238             *ret_h = 1536;
7239         }       
7240     }
7241     
7242         return winseqe_set_addr;
7243 }
7244
7245 static int sensor_s_fmt(struct v4l2_subdev *sd, struct v4l2_format *f)
7246 {
7247     struct i2c_client *client = sd->priv;
7248     struct soc_camera_device *icd = client->dev.platform_data;
7249     struct sensor *sensor = to_sensor(client);
7250     struct v4l2_pix_format *pix = &f->fmt.pix;
7251     struct reginfo *winseqe_set_addr=NULL;
7252     int ret = 0, set_w,set_h;
7253     //u16 seq_state=0;
7254
7255         if (sensor->info_priv.pixfmt != pix->pixelformat) {
7256                 switch (pix->pixelformat)
7257                 {
7258                         case V4L2_PIX_FMT_YUYV:
7259                         {
7260                                 winseqe_set_addr = sensor_ClrFmt_YUYV;
7261                                 break;
7262                         }
7263                         case V4L2_PIX_FMT_UYVY:
7264                         {
7265                                 winseqe_set_addr = sensor_ClrFmt_UYVY;
7266                                 break;
7267                         }
7268                         default:
7269                                 break;
7270                 }
7271                 if (winseqe_set_addr != NULL) {
7272             sensor_write_array(client, winseqe_set_addr);
7273                         sensor->info_priv.pixfmt = pix->pixelformat;
7274
7275                         SENSOR_DG("%s Pixelformat(0x%x) set success!\n", SENSOR_NAME_STRING(),pix->pixelformat);
7276                 } else {
7277                         SENSOR_TR("%s Pixelformat(0x%x) is invalidate!\n", SENSOR_NAME_STRING(),pix->pixelformat);
7278                 }
7279         }
7280
7281     set_w = pix->width;
7282     set_h = pix->height;
7283
7284         winseqe_set_addr = sensor_fmt_catch(set_w, set_h, &set_w, &set_h);
7285
7286     if ((winseqe_set_addr  != sensor->info_priv.winseqe_cur_addr) && winseqe_set_addr) {
7287         ret |= sensor_write_array(client, winseqe_set_addr);
7288         if (ret != 0) {
7289             SENSOR_TR("%s set format capability failed\n", SENSOR_NAME_STRING());
7290             goto sensor_s_fmt_end;
7291         }
7292         sensor->info_priv.winseqe_cur_addr  = winseqe_set_addr;
7293                 if ((winseqe_set_addr[0].reg==SEQUENCE_PROPERTY) && (winseqe_set_addr[0].val==SEQUENCE_CAPTURE)) {
7294                 } else {
7295                         sensor->info_priv.preview_w = pix->width;
7296                         sensor->info_priv.preview_h = pix->height;
7297                 }
7298     }
7299
7300         if (winseqe_set_addr && (winseqe_set_addr[0].reg==SEQUENCE_PROPERTY) && (winseqe_set_addr[0].val==SEQUENCE_CAPTURE)) {
7301
7302         #if CONFIG_SENSOR_Flash
7303                 if( (sensor->info_priv.flash == 1)|| (sensor->info_priv.flash == 2)) {
7304                         sensor_ioctrl(icd, Sensor_Flash, Flash_On);
7305                          //sensor_ioctrl(icd, Sensor_Flash, Flash_Torch);
7306                 }
7307         #endif   
7308                 
7309
7310                 ret |= sensor_write_array(client, sensor_Preview2Capture);
7311                 if (ret != 0) {
7312                 SENSOR_TR("-----------%s  :   %s   :   %d  Preview 2 Capture failed\n", SENSOR_NAME_STRING(),__FUNCTION__,__LINE__);
7313                 goto sensor_s_fmt_end;
7314                 }
7315
7316
7317          SENSOR_TR("-----------%s  :   %s   :   %d  Preview 2 Capture success!\n", SENSOR_NAME_STRING(),__FUNCTION__,__LINE__);
7318
7319         /*#if CONFIG_SENSOR_Flash
7320         if( (sensor->info_priv.flash == 1)|| (sensor->info_priv.flash == 2)) {
7321            sensor_ioctrl(icd, Sensor_Flash, Flash_On);
7322                          //sensor_ioctrl(icd, Sensor_Flash, Flash_Torch);
7323             SENSOR_DG("----flash-------%s    sensor->info_priv.flash = %d ,flash on in capture!\n", SENSOR_NAME_STRING(),sensor->info_priv.flash);
7324         }
7325         #endif 
7326         */
7327                 sensor->info_priv.capture_w = set_w;
7328                 sensor->info_priv.capture_h = set_h;
7329                 sensor->info_priv.snap2preview = true;
7330         } else if (sensor->info_priv.snap2preview == true) {
7331                 if (winseqe_set_addr || ((sensor->info_priv.preview_w == pix->width) && (sensor->info_priv.preview_h == pix->height))) {
7332                         ret |= sensor_write_array(client, sensor_Capture2Preview);
7333                         if (ret != 0) {
7334                         SENSOR_TR("%s Capture 2 Preview failed !!\n", SENSOR_NAME_STRING());
7335                         goto sensor_s_fmt_end;
7336                 }
7337                         
7338             SENSOR_TR("%s Capture 2 Preview success\n", SENSOR_NAME_STRING());
7339
7340             #if CONFIG_SENSOR_Flash
7341             if ((sensor->info_priv.flash == 1) || (sensor->info_priv.flash == 2)) {
7342                 sensor_ioctrl(icd, Sensor_Flash, Flash_Off);
7343             }
7344             #endif        
7345                 sensor->info_priv.preview_w = pix->width;
7346                 sensor->info_priv.preview_h = pix->height;
7347                 sensor->info_priv.snap2preview = false;
7348                 } else {
7349                         SENSOR_TR("\n %s..%s Format is Invalidate. pix->width = %d.. pix->height = %d\n",SENSOR_NAME_STRING(),__FUNCTION__,pix->width,pix->height);
7350                 }
7351         }
7352
7353         pix->width = set_w;
7354         pix->height = set_h;
7355 sensor_s_fmt_end:
7356     return ret;
7357 }
7358
7359 static int sensor_try_fmt(struct v4l2_subdev *sd, struct v4l2_format *f)
7360 {
7361         int ret = 0;
7362     struct v4l2_pix_format *pix = &f->fmt.pix;
7363     bool bayer = pix->pixelformat == V4L2_PIX_FMT_UYVY ||
7364         pix->pixelformat == V4L2_PIX_FMT_YUYV;
7365     /*
7366     * With Bayer format enforce even side lengths, but let the user play
7367     * with the starting pixel
7368     */
7369
7370     if (pix->height > SENSOR_MAX_HEIGHT)
7371         pix->height = SENSOR_MAX_HEIGHT;
7372     else if (pix->height < SENSOR_MIN_HEIGHT)
7373         pix->height = SENSOR_MIN_HEIGHT;
7374     else if (bayer)
7375         pix->height = ALIGN(pix->height, 2);
7376
7377     if (pix->width > SENSOR_MAX_WIDTH)
7378         pix->width = SENSOR_MAX_WIDTH;
7379     else if (pix->width < SENSOR_MIN_WIDTH)
7380         pix->width = SENSOR_MIN_WIDTH;
7381     else if (bayer)
7382         pix->width = ALIGN(pix->width, 2);
7383
7384         if (sensor_fmt_catch(pix->width, pix->height, &pix->width, &pix->height) == NULL) {
7385                 pix->width = 0;
7386                 pix->height = 0;
7387         }
7388
7389     return ret;
7390 }
7391
7392  static int sensor_g_chip_ident(struct v4l2_subdev *sd, struct v4l2_dbg_chip_ident *id)
7393 {
7394     struct i2c_client *client = sd->priv;
7395
7396     if (id->match.type != V4L2_CHIP_MATCH_I2C_ADDR)
7397         return -EINVAL;
7398
7399     if (id->match.addr != client->addr)
7400         return -ENODEV;
7401
7402     id->ident = SENSOR_V4L2_IDENT;      /* ddl@rock-chips.com :  Return OV2655  identifier */
7403     id->revision = 0;
7404
7405     return 0;
7406 }
7407 #if CONFIG_SENSOR_Brightness
7408 static int sensor_set_brightness(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7409 {
7410     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7411
7412     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7413     {
7414         if (sensor_BrightnessSeqe[value - qctrl->minimum] != NULL)
7415         {
7416             if (sensor_write_array(client, sensor_BrightnessSeqe[value - qctrl->minimum]) != 0)
7417             {
7418                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7419                 return -EINVAL;
7420             }
7421             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7422             return 0;
7423         }
7424     }
7425         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7426     return -EINVAL;
7427 }
7428 #endif
7429 #if CONFIG_SENSOR_Effect
7430 static int sensor_set_effect(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7431 {
7432     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7433
7434     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7435     {
7436         if (sensor_EffectSeqe[value - qctrl->minimum] != NULL)
7437         {
7438             if (sensor_write_array(client, sensor_EffectSeqe[value - qctrl->minimum]) != 0)
7439             {
7440                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7441                 return -EINVAL;
7442             }
7443             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7444             return 0;
7445         }
7446     }
7447         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7448     return -EINVAL;
7449 }
7450 #endif
7451 #if CONFIG_SENSOR_Exposure
7452 static int sensor_set_exposure(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7453 {
7454     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7455
7456     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7457     {
7458         if (sensor_ExposureSeqe[value - qctrl->minimum] != NULL)
7459         {
7460             if (sensor_write_array(client, sensor_ExposureSeqe[value - qctrl->minimum]) != 0)
7461             {
7462                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7463                 return -EINVAL;
7464             }
7465             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7466             return 0;
7467         }
7468     }
7469         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7470     return -EINVAL;
7471 }
7472 #endif
7473 #if CONFIG_SENSOR_Saturation
7474 static int sensor_set_saturation(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7475 {
7476     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7477
7478     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7479     {
7480         if (sensor_SaturationSeqe[value - qctrl->minimum] != NULL)
7481         {
7482             if (sensor_write_array(client, sensor_SaturationSeqe[value - qctrl->minimum]) != 0)
7483             {
7484                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7485                 return -EINVAL;
7486             }
7487             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7488             return 0;
7489         }
7490     }
7491     SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7492     return -EINVAL;
7493 }
7494 #endif
7495 #if CONFIG_SENSOR_Contrast
7496 static int sensor_set_contrast(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7497 {
7498     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7499
7500     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7501     {
7502         if (sensor_ContrastSeqe[value - qctrl->minimum] != NULL)
7503         {
7504             if (sensor_write_array(client, sensor_ContrastSeqe[value - qctrl->minimum]) != 0)
7505             {
7506                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7507                 return -EINVAL;
7508             }
7509             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7510             return 0;
7511         }
7512     }
7513     SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7514     return -EINVAL;
7515 }
7516 #endif
7517 #if CONFIG_SENSOR_Mirror
7518 static int sensor_set_mirror(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7519 {
7520     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7521
7522     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7523     {
7524         if (sensor_MirrorSeqe[value - qctrl->minimum] != NULL)
7525         {
7526             if (sensor_write_array(client, sensor_MirrorSeqe[value - qctrl->minimum]) != 0)
7527             {
7528                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7529                 return -EINVAL;
7530             }
7531             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7532             return 0;
7533         }
7534     }
7535     SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7536     return -EINVAL;
7537 }
7538 #endif
7539 #if CONFIG_SENSOR_Flip
7540 static int sensor_set_flip(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7541 {
7542     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7543
7544     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7545     {
7546         if (sensor_FlipSeqe[value - qctrl->minimum] != NULL)
7547         {
7548             if (sensor_write_array(client, sensor_FlipSeqe[value - qctrl->minimum]) != 0)
7549             {
7550                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7551                 return -EINVAL;
7552             }
7553             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7554             return 0;
7555         }
7556     }
7557     SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7558     return -EINVAL;
7559 }
7560 #endif
7561 #if CONFIG_SENSOR_Scene
7562 static int sensor_set_scene(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7563 {
7564     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7565
7566     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7567     {
7568         if (sensor_SceneSeqe[value - qctrl->minimum] != NULL)
7569         {
7570             if (sensor_write_array(client, sensor_SceneSeqe[value - qctrl->minimum]) != 0)
7571             {
7572                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7573                 return -EINVAL;
7574             }
7575             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7576             return 0;
7577         }
7578     }
7579     SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7580     return -EINVAL;
7581 }
7582 #endif
7583 #if CONFIG_SENSOR_WhiteBalance
7584 static int sensor_set_whiteBalance(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7585 {
7586     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7587
7588     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7589     {
7590         if (sensor_WhiteBalanceSeqe[value - qctrl->minimum] != NULL)
7591         {
7592             if (sensor_write_array(client, sensor_WhiteBalanceSeqe[value - qctrl->minimum]) != 0)
7593             {
7594                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7595                 return -EINVAL;
7596             }
7597             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7598             return 0;
7599         }
7600     }
7601         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7602     return -EINVAL;
7603 }
7604 #endif
7605 #if CONFIG_SENSOR_DigitalZoom
7606 static int sensor_set_digitalzoom(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int *value)
7607 {
7608     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7609     struct sensor *sensor = to_sensor(client);
7610         const struct v4l2_queryctrl *qctrl_info;
7611     int digitalzoom_cur, digitalzoom_total;
7612
7613         qctrl_info = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_ZOOM_ABSOLUTE);
7614         if (qctrl_info)
7615                 return -EINVAL;
7616
7617     digitalzoom_cur = sensor->info_priv.digitalzoom;
7618     digitalzoom_total = qctrl_info->maximum;
7619
7620     if ((*value > 0) && (digitalzoom_cur >= digitalzoom_total))
7621     {
7622         SENSOR_TR("%s digitalzoom is maximum - %x\n", SENSOR_NAME_STRING(), digitalzoom_cur);
7623         return -EINVAL;
7624     }
7625
7626     if  ((*value < 0) && (digitalzoom_cur <= qctrl_info->minimum))
7627     {
7628         SENSOR_TR("%s digitalzoom is minimum - %x\n", SENSOR_NAME_STRING(), digitalzoom_cur);
7629         return -EINVAL;
7630     }
7631
7632     if ((*value > 0) && ((digitalzoom_cur + *value) > digitalzoom_total))
7633     {
7634         *value = digitalzoom_total - digitalzoom_cur;
7635     }
7636
7637     if ((*value < 0) && ((digitalzoom_cur + *value) < 0))
7638     {
7639         *value = 0 - digitalzoom_cur;
7640     }
7641
7642     digitalzoom_cur += *value;
7643
7644     if (sensor_ZoomSeqe[digitalzoom_cur] != NULL)
7645     {
7646         if (sensor_write_array(client, sensor_ZoomSeqe[digitalzoom_cur]) != 0)
7647         {
7648             SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7649             return -EINVAL;
7650         }
7651         SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, *value);
7652         return 0;
7653     }
7654
7655     return -EINVAL;
7656 }
7657 #endif
7658 #if CONFIG_SENSOR_Flash
7659 static int sensor_set_flash(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7660 {       
7661     if ((value >= qctrl->minimum) && (value <= qctrl->maximum)) {
7662         if (value == 3) {       /* ddl@rock-chips.com: torch */
7663             sensor_ioctrl(icd, Sensor_Flash, Flash_Torch);   /* Flash On */
7664         } else {
7665             sensor_ioctrl(icd, Sensor_Flash, Flash_Off);
7666         }
7667         SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7668         return 0;
7669     }
7670     
7671         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7672     return -EINVAL;
7673 }
7674 #endif
7675 #if CONFIG_SENSOR_Focus
7676 static int sensor_set_focus_absolute(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7677 {
7678         struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7679     struct sensor *sensor = to_sensor(client);
7680         const struct v4l2_queryctrl *qctrl_info;
7681         int ret = 0;
7682
7683         qctrl_info = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_FOCUS_ABSOLUTE);
7684         if (!qctrl_info)
7685         {
7686                 return -EINVAL;
7687         }
7688         if ((sensor->info_priv.funmodule_state & SENSOR_AF_IS_OK) && (sensor->info_priv.affm_reinit == 0)) {
7689                 if ((value >= qctrl_info->minimum) && (value <= qctrl_info->maximum)) {
7690
7691                         SENSOR_DG("%s..%s : %d  ret:0x%x\n",SENSOR_NAME_STRING(),__FUNCTION__, value,ret);
7692                 } else {
7693                         ret = -EINVAL;
7694                         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7695                         goto sensor_set_focus_absolute_end;
7696                 }
7697         } else {
7698                 ret = -EACCES;
7699                 SENSOR_TR("\n %s..%s AF module state(0x%x, 0x%x) is error!\n",SENSOR_NAME_STRING(),__FUNCTION__,
7700                         sensor->info_priv.funmodule_state,sensor->info_priv.affm_reinit);
7701         }
7702
7703 sensor_set_focus_absolute_end:
7704         return ret;
7705 }
7706 static int sensor_set_focus_relative(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7707 {
7708         struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7709         struct sensor *sensor = to_sensor(client);
7710         const struct v4l2_queryctrl *qctrl_info;
7711         int ret = 0;
7712
7713         qctrl_info = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_FOCUS_RELATIVE);
7714         if (!qctrl_info)
7715         {
7716                 ret = -EINVAL;
7717                 goto sensor_set_focus_relative_end;
7718                 //return -EINVAL;
7719         }
7720         if ((sensor->info_priv.funmodule_state & SENSOR_AF_IS_OK) && (sensor->info_priv.affm_reinit == 0)) {
7721                 if ((value >= qctrl_info->minimum) && (value <= qctrl_info->maximum)) {
7722
7723                         SENSOR_DG("%s..%s : %d  ret:0x%x\n",SENSOR_NAME_STRING(),__FUNCTION__, value,ret);
7724                 } else {
7725                         ret = -EINVAL;
7726                         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7727                 }
7728         } else {
7729                 ret = -EACCES;
7730                 SENSOR_TR("\n %s..%s AF module state(0x%x, 0x%x) is error!\n",SENSOR_NAME_STRING(),__FUNCTION__,
7731                         sensor->info_priv.funmodule_state,sensor->info_priv.affm_reinit);
7732         }
7733 sensor_set_focus_relative_end:
7734         return ret;
7735 }
7736
7737 static int sensor_set_focus_mode(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7738 {
7739         struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7740         struct sensor *sensor = to_sensor(client);
7741         int ret = 0;
7742         if ((sensor->info_priv.funmodule_state & SENSOR_AF_IS_OK)  && (sensor->info_priv.affm_reinit == 0)) {
7743                 switch (value)
7744                 {
7745                         case SENSOR_AF_MODE_AUTO:
7746                         {
7747                                 ret = sensor_af_single(client);
7748                                 break;
7749                         }
7750
7751                         case SENSOR_AF_MODE_MACRO:
7752                         {
7753                                 ret = sensor_set_focus_absolute(icd, qctrl, 0xff);
7754                                 break;
7755                         }
7756
7757                         case SENSOR_AF_MODE_INFINITY:
7758                         {
7759                                 ret = sensor_set_focus_absolute(icd, qctrl, 0x00);
7760                                 break;
7761                         }
7762
7763                         case SENSOR_AF_MODE_CONTINUOUS:
7764                         {
7765                                 ret = sensor_af_const(client);
7766                                 break;
7767                         }
7768                         default:
7769                                 SENSOR_TR("\n %s..%s AF value(0x%x) is error!\n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7770                                 break;
7771
7772                 }
7773
7774                 SENSOR_DG("%s..%s : %d  ret:0x%x\n",SENSOR_NAME_STRING(),__FUNCTION__, value,ret);
7775         } else {
7776                 ret = -EACCES;
7777                 SENSOR_TR("\n %s..%s AF module state(0x%x, 0x%x) is error!\n",SENSOR_NAME_STRING(),__FUNCTION__,
7778                         sensor->info_priv.funmodule_state,sensor->info_priv.affm_reinit);
7779         }
7780
7781         return ret;
7782 }
7783 #endif
7784 static int sensor_g_control(struct v4l2_subdev *sd, struct v4l2_control *ctrl)
7785 {
7786     struct i2c_client *client = sd->priv;
7787     struct sensor *sensor = to_sensor(client);
7788     const struct v4l2_queryctrl *qctrl;
7789     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
7790
7791     qctrl = soc_camera_find_qctrl(&sensor_ops, ctrl->id);
7792
7793     if (!qctrl)
7794     {
7795         SENSOR_TR("\n %s ioctrl id = 0x%x  is invalidate \n", SENSOR_NAME_STRING(), ctrl->id);
7796         return -EINVAL;
7797     }
7798
7799     switch (ctrl->id)
7800     {
7801         case V4L2_CID_BRIGHTNESS:
7802             {
7803                 ctrl->value = sensor->info_priv.brightness;
7804                 break;
7805             }
7806         case V4L2_CID_SATURATION:
7807             {
7808                 ctrl->value = sensor->info_priv.saturation;
7809                 break;
7810             }
7811         case V4L2_CID_CONTRAST:
7812             {
7813                 ctrl->value = sensor->info_priv.contrast;
7814                 break;
7815             }
7816         case V4L2_CID_DO_WHITE_BALANCE:
7817             {
7818                 ctrl->value = sensor->info_priv.whiteBalance;
7819                 break;
7820             }
7821         case V4L2_CID_EXPOSURE:
7822             {
7823                 ctrl->value = sensor->info_priv.exposure;
7824                 break;
7825             }
7826         case V4L2_CID_HFLIP:
7827             {
7828                 ctrl->value = sensor->info_priv.mirror;
7829                 break;
7830             }
7831         case V4L2_CID_VFLIP:
7832             {
7833                 ctrl->value = sensor->info_priv.flip;
7834                 break;
7835             }
7836         default :
7837                 break;
7838     }
7839     return 0;
7840 }
7841
7842
7843
7844 static int sensor_s_control(struct v4l2_subdev *sd, struct v4l2_control *ctrl)
7845 {
7846     struct i2c_client *client = sd->priv;
7847     struct sensor *sensor = to_sensor(client);
7848     struct soc_camera_device *icd = client->dev.platform_data;
7849     const struct v4l2_queryctrl *qctrl;
7850     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
7851
7852
7853     qctrl = soc_camera_find_qctrl(&sensor_ops, ctrl->id);
7854
7855     if (!qctrl)
7856     {
7857         SENSOR_TR("\n %s ioctrl id = 0x%x  is invalidate \n", SENSOR_NAME_STRING(), ctrl->id);
7858         return -EINVAL;
7859     }
7860
7861     switch (ctrl->id)
7862     {
7863 #if CONFIG_SENSOR_Brightness
7864         case V4L2_CID_BRIGHTNESS:
7865             {
7866                 if (ctrl->value != sensor->info_priv.brightness)
7867                 {
7868                     if (sensor_set_brightness(icd, qctrl,ctrl->value) != 0)
7869                     {
7870                         return -EINVAL;
7871                     }
7872                     sensor->info_priv.brightness = ctrl->value;
7873                 }
7874                 break;
7875             }
7876 #endif
7877 #if CONFIG_SENSOR_Exposure
7878         case V4L2_CID_EXPOSURE:
7879             {
7880                 if (ctrl->value != sensor->info_priv.exposure)
7881                 {
7882                     if (sensor_set_exposure(icd, qctrl,ctrl->value) != 0)
7883                     {
7884                         return -EINVAL;
7885                     }
7886                     sensor->info_priv.exposure = ctrl->value;
7887                 }
7888                 break;
7889             }
7890 #endif
7891 #if CONFIG_SENSOR_Saturation
7892         case V4L2_CID_SATURATION:
7893             {
7894                 if (ctrl->value != sensor->info_priv.saturation)
7895                 {
7896                     if (sensor_set_saturation(icd, qctrl,ctrl->value) != 0)
7897                     {
7898                         return -EINVAL;
7899                     }
7900                     sensor->info_priv.saturation = ctrl->value;
7901                 }
7902                 break;
7903             }
7904 #endif
7905 #if CONFIG_SENSOR_Contrast
7906         case V4L2_CID_CONTRAST:
7907             {
7908                 if (ctrl->value != sensor->info_priv.contrast)
7909                 {
7910                     if (sensor_set_contrast(icd, qctrl,ctrl->value) != 0)
7911                     {
7912                         return -EINVAL;
7913                     }
7914                     sensor->info_priv.contrast = ctrl->value;
7915                 }
7916                 break;
7917             }
7918 #endif
7919 #if CONFIG_SENSOR_WhiteBalance
7920         case V4L2_CID_DO_WHITE_BALANCE:
7921             {
7922                 if (ctrl->value != sensor->info_priv.whiteBalance)
7923                 {
7924                     if (sensor_set_whiteBalance(icd, qctrl,ctrl->value) != 0)
7925                     {
7926                         return -EINVAL;
7927                     }
7928                     sensor->info_priv.whiteBalance = ctrl->value;
7929                 }
7930                 break;
7931             }
7932 #endif
7933 #if CONFIG_SENSOR_Mirror
7934         case V4L2_CID_HFLIP:
7935             {
7936                 if (ctrl->value != sensor->info_priv.mirror)
7937                 {
7938                     if (sensor_set_mirror(icd, qctrl,ctrl->value) != 0)
7939                         return -EINVAL;
7940                     sensor->info_priv.mirror = ctrl->value;
7941                 }
7942                 break;
7943             }
7944 #endif
7945 #if CONFIG_SENSOR_Flip
7946         case V4L2_CID_VFLIP:
7947             {
7948                 if (ctrl->value != sensor->info_priv.flip)
7949                 {
7950                     if (sensor_set_flip(icd, qctrl,ctrl->value) != 0)
7951                         return -EINVAL;
7952                     sensor->info_priv.flip = ctrl->value;
7953                 }
7954                 break;
7955             }
7956 #endif
7957         default:
7958             break;
7959     }
7960
7961     return 0;
7962 }
7963 static int sensor_g_ext_control(struct soc_camera_device *icd , struct v4l2_ext_control *ext_ctrl)
7964 {
7965     const struct v4l2_queryctrl *qctrl;
7966     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7967     struct sensor *sensor = to_sensor(client);
7968     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
7969
7970     qctrl = soc_camera_find_qctrl(&sensor_ops, ext_ctrl->id);
7971
7972     if (!qctrl)
7973     {
7974         SENSOR_TR("\n %s ioctrl id = 0x%x  is invalidate \n", SENSOR_NAME_STRING(), ext_ctrl->id);
7975         return -EINVAL;
7976     }
7977
7978     switch (ext_ctrl->id)
7979     {
7980         case V4L2_CID_SCENE:
7981             {
7982                 ext_ctrl->value = sensor->info_priv.scene;
7983                 break;
7984             }
7985         case V4L2_CID_EFFECT:
7986             {
7987                 ext_ctrl->value = sensor->info_priv.effect;
7988                 break;
7989             }
7990         case V4L2_CID_ZOOM_ABSOLUTE:
7991             {
7992                 ext_ctrl->value = sensor->info_priv.digitalzoom;
7993                 break;
7994             }
7995         case V4L2_CID_ZOOM_RELATIVE:
7996             {
7997                 return -EINVAL;
7998             }
7999         case V4L2_CID_FOCUS_ABSOLUTE:
8000             {
8001                 return -EINVAL;
8002             }
8003         case V4L2_CID_FOCUS_RELATIVE:
8004             {
8005                 return -EINVAL;
8006             }
8007         case V4L2_CID_FLASH:
8008             {
8009                 ext_ctrl->value = sensor->info_priv.flash;
8010                 break;
8011             }
8012         default :
8013             break;
8014     }
8015     return 0;
8016 }
8017 static int sensor_s_ext_control(struct soc_camera_device *icd, struct v4l2_ext_control *ext_ctrl)
8018 {
8019     const struct v4l2_queryctrl *qctrl;
8020     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
8021     struct sensor *sensor = to_sensor(client);
8022     int val_offset;
8023
8024     qctrl = soc_camera_find_qctrl(&sensor_ops, ext_ctrl->id);
8025
8026     if (!qctrl)
8027     {
8028         SENSOR_TR("\n %s ioctrl id = 0x%x  is invalidate \n", SENSOR_NAME_STRING(), ext_ctrl->id);
8029         return -EINVAL;
8030     }
8031
8032         val_offset = 0;
8033     switch (ext_ctrl->id)
8034     {
8035 #if CONFIG_SENSOR_Scene
8036         case V4L2_CID_SCENE:
8037             {
8038                 if (ext_ctrl->value != sensor->info_priv.scene)
8039                 {
8040                     if (sensor_set_scene(icd, qctrl,ext_ctrl->value) != 0)
8041                         return -EINVAL;
8042                     sensor->info_priv.scene = ext_ctrl->value;
8043                 }
8044                 break;
8045             }
8046 #endif
8047 #if CONFIG_SENSOR_Effect
8048         case V4L2_CID_EFFECT:
8049             {
8050                 if (ext_ctrl->value != sensor->info_priv.effect)
8051                 {
8052                     if (sensor_set_effect(icd, qctrl,ext_ctrl->value) != 0)
8053                         return -EINVAL;
8054                     sensor->info_priv.effect= ext_ctrl->value;
8055                 }
8056                 break;
8057             }
8058 #endif
8059 #if CONFIG_SENSOR_DigitalZoom
8060         case V4L2_CID_ZOOM_ABSOLUTE:
8061             {
8062                 if ((ext_ctrl->value < qctrl->minimum) || (ext_ctrl->value > qctrl->maximum))
8063                     return -EINVAL;
8064
8065                 if (ext_ctrl->value != sensor->info_priv.digitalzoom)
8066                 {
8067                     val_offset = ext_ctrl->value -sensor->info_priv.digitalzoom;
8068
8069                     if (sensor_set_digitalzoom(icd, qctrl,&val_offset) != 0)
8070                         return -EINVAL;
8071                     sensor->info_priv.digitalzoom += val_offset;
8072
8073                     SENSOR_DG("%s digitalzoom is %x\n",SENSOR_NAME_STRING(),  sensor->info_priv.digitalzoom);
8074                 }
8075
8076                 break;
8077             }
8078         case V4L2_CID_ZOOM_RELATIVE:
8079             {
8080                 if (ext_ctrl->value)
8081                 {
8082                     if (sensor_set_digitalzoom(icd, qctrl,&ext_ctrl->value) != 0)
8083                         return -EINVAL;
8084                     sensor->info_priv.digitalzoom += ext_ctrl->value;
8085
8086                     SENSOR_DG("%s digitalzoom is %x\n", SENSOR_NAME_STRING(), sensor->info_priv.digitalzoom);
8087                 }
8088                 break;
8089             }
8090 #endif
8091 #if CONFIG_SENSOR_Focus
8092         case V4L2_CID_FOCUS_ABSOLUTE:
8093             {
8094                 if ((ext_ctrl->value < qctrl->minimum) || (ext_ctrl->value > qctrl->maximum))
8095                     return -EINVAL;
8096
8097                                 if (sensor_set_focus_absolute(icd, qctrl,ext_ctrl->value) == 0) {
8098                                         if (ext_ctrl->value == qctrl->minimum) {
8099                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_INFINITY;
8100                                         } else if (ext_ctrl->value == qctrl->maximum) {
8101                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_MACRO;
8102                                         } else {
8103                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_FIXED;
8104                                         }
8105                                 }
8106
8107                 break;
8108             }
8109         case V4L2_CID_FOCUS_RELATIVE:
8110             {
8111                 if ((ext_ctrl->value < qctrl->minimum) || (ext_ctrl->value > qctrl->maximum))
8112                     return -EINVAL;
8113
8114                 sensor_set_focus_relative(icd, qctrl,ext_ctrl->value);
8115                 break;
8116             }
8117                 case V4L2_CID_FOCUS_AUTO:
8118                         {
8119                                 if (ext_ctrl->value == 1) {
8120                                         if (sensor_set_focus_mode(icd, qctrl,SENSOR_AF_MODE_AUTO) != 0)
8121                                                 return -EINVAL;
8122                                         sensor->info_priv.auto_focus = SENSOR_AF_MODE_AUTO;
8123                                 } else if (SENSOR_AF_MODE_AUTO == sensor->info_priv.auto_focus){
8124                                         if (ext_ctrl->value == 0)
8125                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_CLOSE;
8126                                 }
8127                                 break;
8128                         }
8129                 case V4L2_CID_FOCUS_CONTINUOUS:
8130                         {
8131                                 if (SENSOR_AF_MODE_CONTINUOUS != sensor->info_priv.auto_focus) {
8132                                         if (ext_ctrl->value == 1) {
8133                                                 if (sensor_set_focus_mode(icd, qctrl,SENSOR_AF_MODE_CONTINUOUS) != 0)
8134                                                         return -EINVAL;
8135                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_CONTINUOUS;
8136                                         }
8137                                 } else {
8138                                         if (ext_ctrl->value == 0)
8139                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_CLOSE;
8140                                 }
8141                                 break;
8142                         }
8143 #endif
8144 #if CONFIG_SENSOR_Flash
8145         case V4L2_CID_FLASH:
8146             {
8147                 if (sensor_set_flash(icd, qctrl,ext_ctrl->value) != 0)
8148                     return -EINVAL;
8149                 sensor->info_priv.flash = ext_ctrl->value;
8150
8151                 SENSOR_DG("%s flash is %x    %d\n",SENSOR_NAME_STRING(), sensor->info_priv.flash,__LINE__);
8152                 break;
8153             }
8154 #endif
8155         default:
8156             break;
8157     }
8158
8159     return 0;
8160 }
8161
8162 static int sensor_g_ext_controls(struct v4l2_subdev *sd, struct v4l2_ext_controls *ext_ctrl)
8163 {
8164     struct i2c_client *client = sd->priv;
8165     struct soc_camera_device *icd = client->dev.platform_data;
8166     int i, error_cnt=0, error_idx=-1;
8167     
8168     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
8169
8170
8171     for (i=0; i<ext_ctrl->count; i++) {
8172         if (sensor_g_ext_control(icd, &ext_ctrl->controls[i]) != 0) {
8173             error_cnt++;
8174             error_idx = i;
8175         }
8176     }
8177
8178     if (error_cnt > 1)
8179         error_idx = ext_ctrl->count;
8180
8181     if (error_idx != -1) {
8182         ext_ctrl->error_idx = error_idx;
8183         return -EINVAL;
8184     } else {
8185         return 0;
8186     }
8187 }
8188
8189 static int sensor_s_ext_controls(struct v4l2_subdev *sd, struct v4l2_ext_controls *ext_ctrl)
8190 {
8191     struct i2c_client *client = sd->priv;
8192     struct soc_camera_device *icd = client->dev.platform_data;
8193     int i, error_cnt=0, error_idx=-1;
8194     
8195     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
8196
8197     for (i=0; i<ext_ctrl->count; i++) {
8198         if (sensor_s_ext_control(icd, &ext_ctrl->controls[i]) != 0) {
8199             error_cnt++;
8200             error_idx = i;
8201         }
8202     }
8203
8204     if (error_cnt > 1)
8205         error_idx = ext_ctrl->count;
8206
8207     if (error_idx != -1) {
8208         ext_ctrl->error_idx = error_idx;
8209         return -EINVAL;
8210     } else {
8211         return 0;
8212     }
8213 }
8214
8215 static int sensor_s_stream(struct v4l2_subdev *sd, int enable)
8216 {
8217         struct i2c_client *client = sd->priv;
8218     struct sensor *sensor = to_sensor(client);
8219
8220         if (enable == 1) {
8221                 sensor->info_priv.enable = 1;
8222         } else if (enable == 0) {
8223                 sensor->info_priv.enable = 0;
8224         }
8225
8226         return 0;
8227 }
8228
8229 /* Interface active, can use i2c. If it fails, it can indeed mean, that
8230  * this wasn't our capture interface, so, we wait for the right one */
8231 static int sensor_video_probe(struct soc_camera_device *icd,
8232                                struct i2c_client *client)
8233 {
8234     int ret,pid = 0;
8235     struct sensor *sensor = to_sensor(client);
8236     #if (SENSOR_RESET_REG != SEQUENCE_END)
8237     struct reginfo reg_info;
8238     #endif
8239     
8240     /* We must have a parent by now. And it cannot be a wrong one.
8241      * So this entire test is completely redundant. */
8242     if (!icd->dev.parent ||
8243             to_soc_camera_host(icd->dev.parent)->nr != icd->iface)
8244                 return -ENODEV;
8245
8246         if (sensor_ioctrl(icd, Sensor_PowerDown, 0) < 0) {
8247                 ret = -ENODEV;
8248                 goto sensor_video_probe_err;
8249         }
8250     /* soft reset */
8251 #if (SENSOR_RESET_REG != SEQUENCE_END)
8252         reg_info.reg = SENSOR_RESET_REG;
8253         reg_info.val = SENSOR_RESET_VAL;
8254         reg_info.reg_len = SENSOR_RESET_REG_LEN;
8255     ret = sensor_write(client, &reg_info);
8256     if (ret != 0) {
8257         SENSOR_TR("%s soft reset sensor failed\n",SENSOR_NAME_STRING());
8258         ret = -ENODEV;
8259                 goto sensor_video_probe_err;
8260     }
8261     mdelay(5);  //delay 5 microseconds
8262 #endif
8263
8264         /* check if it is an sensor sensor */
8265 #if (SENSOR_ID_REG != SEQUENCE_END)
8266     ret = sensor_read(client, SENSOR_ID_REG, &pid);
8267     if (ret != 0) {
8268         SENSOR_TR("read chip id failed\n");
8269         ret = -ENODEV;
8270         goto sensor_video_probe_err;
8271     }
8272
8273     SENSOR_DG("\n %s  pid = 0x%x \n", SENSOR_NAME_STRING(), pid);
8274 #else
8275         pid = SENSOR_ID;
8276 #endif
8277     if (pid == SENSOR_ID) {
8278         sensor->model = SENSOR_V4L2_IDENT;
8279     } else {
8280         SENSOR_TR("error: %s mismatched   pid = 0x%x\n", SENSOR_NAME_STRING(), pid);
8281         ret = -ENODEV;
8282         goto sensor_video_probe_err;
8283     }
8284                 
8285     icd->formats = sensor_colour_formats;
8286     icd->num_formats = ARRAY_SIZE(sensor_colour_formats);
8287     return 0;
8288
8289 sensor_video_probe_err:
8290
8291     return ret;
8292 }
8293 static long sensor_ioctl(struct v4l2_subdev *sd, unsigned int cmd, void *arg)
8294 {
8295         struct i2c_client *client = sd->priv;
8296     struct soc_camera_device *icd = client->dev.platform_data;
8297     struct sensor *sensor = to_sensor(client);
8298     int ret = 0;
8299     #if CONFIG_SENSOR_Flash     
8300     int i;
8301     #endif
8302     
8303         SENSOR_DG("\n%s..%s..cmd:%x \n",SENSOR_NAME_STRING(),__FUNCTION__,cmd);
8304         switch (cmd)
8305         {
8306                 case RK29_CAM_SUBDEV_DEACTIVATE:
8307                 {
8308                         sensor_deactivate(client);
8309                         break;
8310                 }
8311                 case RK29_CAM_SUBDEV_IOREQUEST:
8312                 {
8313                         sensor->sensor_io_request = (struct rk29camera_platform_data*)arg;           
8314             if (sensor->sensor_io_request != NULL) { 
8315                 if (sensor->sensor_io_request->gpio_res[0].dev_name && 
8316                     (strcmp(sensor->sensor_io_request->gpio_res[0].dev_name, dev_name(icd->pdev)) == 0)) {
8317                     sensor->sensor_gpio_res = (struct rk29camera_gpio_res*)&sensor->sensor_io_request->gpio_res[0];
8318                 } else if (sensor->sensor_io_request->gpio_res[1].dev_name && 
8319                     (strcmp(sensor->sensor_io_request->gpio_res[1].dev_name, dev_name(icd->pdev)) == 0)) {
8320                     sensor->sensor_gpio_res = (struct rk29camera_gpio_res*)&sensor->sensor_io_request->gpio_res[1];
8321                 }
8322             } else {
8323                 SENSOR_TR("%s %s RK29_CAM_SUBDEV_IOREQUEST fail\n",SENSOR_NAME_STRING(),__FUNCTION__);
8324                 ret = -EINVAL;
8325                 goto sensor_ioctl_end;
8326             }
8327             /* ddl@rock-chips.com : if gpio_flash havn't been set in board-xxx.c, sensor driver must notify is not support flash control 
8328                for this project */
8329             #if CONFIG_SENSOR_Flash     
8330                 if (sensor->sensor_gpio_res) {
8331                 if (sensor->sensor_gpio_res->gpio_flash == INVALID_GPIO) {
8332                     for (i = 0; i < icd->ops->num_controls; i++) {
8333                                 if (V4L2_CID_FLASH == icd->ops->controls[i].id) {
8334                                         memset(&icd->ops->controls[i],0x00,sizeof(struct v4l2_queryctrl));                                      
8335                                 }
8336                     }
8337                     sensor->info_priv.flash = 0xff;
8338                 }
8339                 }
8340             #endif
8341                         break;
8342                 }
8343                 default:
8344                 {
8345                         SENSOR_TR("%s %s cmd(0x%x) is unknown !\n",SENSOR_NAME_STRING(),__FUNCTION__,cmd);
8346                         break;
8347                 }
8348         }
8349 sensor_ioctl_end:
8350         return ret;
8351
8352 }
8353
8354 static struct v4l2_subdev_core_ops sensor_subdev_core_ops = {
8355         .init           = sensor_init,
8356         .g_ctrl         = sensor_g_control,
8357         .s_ctrl         = sensor_s_control,
8358         .g_ext_ctrls          = sensor_g_ext_controls,
8359         .s_ext_ctrls          = sensor_s_ext_controls,
8360         .g_chip_ident   = sensor_g_chip_ident,
8361         .ioctl = sensor_ioctl,
8362 };
8363
8364 static struct v4l2_subdev_video_ops sensor_subdev_video_ops = {
8365         .s_fmt          = sensor_s_fmt,
8366         .g_fmt          = sensor_g_fmt,
8367         .try_fmt        = sensor_try_fmt,
8368         .s_stream   = sensor_s_stream,
8369 };
8370
8371 static struct v4l2_subdev_ops sensor_subdev_ops = {
8372         .core   = &sensor_subdev_core_ops,
8373         .video = &sensor_subdev_video_ops,
8374 };
8375
8376 static int sensor_probe(struct i2c_client *client,
8377                          const struct i2c_device_id *did)
8378 {
8379     struct sensor *sensor;
8380     struct soc_camera_device *icd = client->dev.platform_data;
8381     struct i2c_adapter *adapter = to_i2c_adapter(client->dev.parent);
8382     struct soc_camera_link *icl;
8383     int ret;
8384
8385     SENSOR_DG("\n%s..%s..%d..\n",__FUNCTION__,__FILE__,__LINE__);
8386     if (!icd) {
8387         dev_err(&client->dev, "%s: missing soc-camera data!\n",SENSOR_NAME_STRING());
8388         return -EINVAL;
8389     }
8390
8391     icl = to_soc_camera_link(icd);
8392     if (!icl) {
8393         dev_err(&client->dev, "%s driver needs platform data\n", SENSOR_NAME_STRING());
8394         return -EINVAL;
8395     }
8396
8397     if (!i2c_check_functionality(adapter, I2C_FUNC_I2C)) {
8398         dev_warn(&adapter->dev,
8399                  "I2C-Adapter doesn't support I2C_FUNC_I2C\n");
8400         return -EIO;
8401     }
8402
8403     sensor = kzalloc(sizeof(struct sensor), GFP_KERNEL);
8404     if (!sensor)
8405         return -ENOMEM;
8406
8407     v4l2_i2c_subdev_init(&sensor->subdev, client, &sensor_subdev_ops);
8408
8409     /* Second stage probe - when a capture adapter is there */
8410     icd->ops            = &sensor_ops;
8411     icd->y_skip_top             = 0;
8412         #if CONFIG_SENSOR_I2C_NOSCHED
8413         atomic_set(&sensor->tasklock_cnt,0);
8414         #endif
8415
8416     ret = sensor_video_probe(icd, client);
8417     if (ret < 0) {
8418         icd->ops = NULL;
8419         i2c_set_clientdata(client, NULL);
8420         kfree(sensor);
8421                 sensor = NULL;
8422     }
8423     SENSOR_DG("\n%s..%s..%d  ret = %x \n",__FUNCTION__,__FILE__,__LINE__,ret);
8424     return ret;
8425 }
8426
8427 static int sensor_remove(struct i2c_client *client)
8428 {
8429     struct sensor *sensor = to_sensor(client);
8430     struct soc_camera_device *icd = client->dev.platform_data;
8431
8432         #if CONFIG_SENSOR_Focus
8433         if (sensor->sensor_wq) {
8434                 destroy_workqueue(sensor->sensor_wq);
8435                 sensor->sensor_wq = NULL;
8436         }
8437         #endif
8438
8439     icd->ops = NULL;
8440     i2c_set_clientdata(client, NULL);
8441     client->driver = NULL;
8442     kfree(sensor);
8443         sensor = NULL;
8444     return 0;
8445 }
8446
8447 static const struct i2c_device_id sensor_id[] = {
8448         {SENSOR_NAME_STRING(), 0 },
8449         { }
8450 };
8451 MODULE_DEVICE_TABLE(i2c, sensor_id);
8452
8453 static struct i2c_driver sensor_i2c_driver = {
8454         .driver = {
8455                 .name = SENSOR_NAME_STRING(),
8456         },
8457         .probe          = sensor_probe,
8458         .remove         = sensor_remove,
8459         .id_table       = sensor_id,
8460 };
8461
8462 static int __init sensor_mod_init(void)
8463 {
8464     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
8465     return i2c_add_driver(&sensor_i2c_driver);
8466 }
8467
8468 static void __exit sensor_mod_exit(void)
8469 {
8470     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
8471     i2c_del_driver(&sensor_i2c_driver);
8472 }
8473
8474 device_initcall_sync(sensor_mod_init);
8475 module_exit(sensor_mod_exit);
8476
8477 MODULE_DESCRIPTION(SENSOR_NAME_STRING(Camera sensor driver));
8478 MODULE_AUTHOR("ddl <kernel@rock-chips>");
8479 MODULE_LICENSE("GPL");
8480
8481