055827e557528ad1b0a2f63b45f29ed88badf426
[firefly-linux-kernel-4.4.55.git] / drivers / video / rockchip / rga / rga_reg_info.c
1 \r
2 //#include <linux/kernel.h>\r
3 #include <linux/memory.h>\r
4 #include <linux/kernel.h>\r
5 #include <linux/init.h>\r
6 #include <linux/module.h>\r
7 #include <linux/platform_device.h>\r
8 #include <linux/sched.h>\r
9 #include <linux/mutex.h>\r
10 #include <linux/err.h>\r
11 #include <linux/clk.h>\r
12 #include <asm/delay.h>\r
13 #include <linux/dma-mapping.h>\r
14 #include <linux/delay.h>\r
15 #include <asm/io.h>\r
16 #include <linux/irq.h>\r
17 #include <linux/interrupt.h>\r
18 #include <mach/io.h>\r
19 #include <mach/irqs.h>\r
20 #include <linux/fs.h>\r
21 #include <asm/uaccess.h>\r
22 #include <linux/miscdevice.h>\r
23 #include <linux/poll.h>\r
24 #include <linux/delay.h>\r
25 #include <linux/wait.h>\r
26 #include <linux/syscalls.h>\r
27 #include <linux/timer.h>\r
28 #include <linux/time.h>\r
29 #include <asm/cacheflush.h>\r
30 #include <linux/slab.h>\r
31 #include <linux/fb.h>\r
32 #include <linux/wakelock.h>\r
33 \r
34 #include "rga_reg_info.h"\r
35 #include "rga_rop.h"\r
36 #include "rga.h"\r
37 \r
38 \r
39 /*************************************************************    \r
40 Func:        \r
41     RGA_pixel_width_init    \r
42 Description:        \r
43     select pixel_width form data format    \r
44 Author:        \r
45     ZhangShengqin    \r
46 Date:        \r
47     20012-2-2 10:59:25 \r
48 **************************************************************/\r
49 unsigned char\r
50 RGA_pixel_width_init(unsigned int format)\r
51 {\r
52     unsigned char pixel_width;\r
53 \r
54     pixel_width = 0;\r
55 \r
56     switch(format)\r
57     {\r
58         /* RGB FORMAT */\r
59         case RK_FORMAT_RGBA_8888 :   pixel_width = 4;   break;\r
60         case RK_FORMAT_RGBX_8888 :   pixel_width = 4;   break;\r
61         case RK_FORMAT_RGB_888   :   pixel_width = 3;   break;\r
62         case RK_FORMAT_BGRA_8888 :   pixel_width = 4;   break;\r
63         case RK_FORMAT_RGB_565   :   pixel_width = 2;   break;\r
64         case RK_FORMAT_RGBA_5551 :   pixel_width = 2;   break;\r
65         case RK_FORMAT_RGBA_4444 :   pixel_width = 2;   break;\r
66         case RK_FORMAT_BGR_888   :   pixel_width = 3;   break;\r
67 \r
68         /* YUV FORMAT */    \r
69         case RK_FORMAT_YCbCr_422_SP :   pixel_width = 1;  break;\r
70         case RK_FORMAT_YCbCr_422_P  :   pixel_width = 1;  break;\r
71         case RK_FORMAT_YCbCr_420_SP :   pixel_width = 1;  break;\r
72         case RK_FORMAT_YCbCr_420_P  :   pixel_width = 1;  break;\r
73         case RK_FORMAT_YCrCb_422_SP :   pixel_width = 1;  break;\r
74         case RK_FORMAT_YCrCb_422_P  :   pixel_width = 1;  break;\r
75         case RK_FORMAT_YCrCb_420_SP :   pixel_width = 1;  break;\r
76         case RK_FORMAT_YCrCb_420_P :    pixel_width = 1;  break;\r
77         //case default :                  pixel_width = 0;  break;\r
78     }\r
79 \r
80     return pixel_width;\r
81 }\r
82 \r
83 /*************************************************************    \r
84 Func:        \r
85     dst_ctrl_cal    \r
86 Description:        \r
87     calculate dst act window position / width / height \r
88     and set the tile struct \r
89 Author:        \r
90     ZhangShengqin    \r
91 Date:        \r
92     20012-2-2 10:59:25 \r
93 **************************************************************/\r
94 void\r
95 dst_ctrl_cal(const struct rga_req *msg, TILE_INFO *tile)\r
96 {\r
97     u32 width   = msg->dst.act_w;\r
98     u32 height  = msg->dst.act_h;\r
99     s32 xoff    = msg->dst.x_offset;\r
100     s32 yoff    = msg->dst.y_offset;\r
101 \r
102     s32 x0, y0, x1, y1, x2, y2;\r
103     s32 x00,y00,x10,y10,x20,y20;\r
104     s32 xx, xy, yx, yy;\r
105     s32 pos[8];\r
106 \r
107     s32 xmax, xmin, ymax, ymin;\r
108 \r
109     s32 sina = msg->sina; /* 16.16 */\r
110     s32 cosa = msg->cosa; /* 16.16 */\r
111 \r
112     xmax = xmin = ymax = ymin = 0;\r
113 \r
114     if((msg->rotate_mode == 0)||(msg->rotate_mode == 2)||(msg->rotate_mode == 3))\r
115     {\r
116         pos[0] = xoff;\r
117         pos[1] = yoff;\r
118            \r
119         pos[2] = xoff;\r
120         pos[3] = yoff + height - 1;\r
121             \r
122         pos[4] = xoff + width - 1;\r
123         pos[5] = yoff + height - 1;\r
124 \r
125         pos[6] = xoff + width - 1;\r
126         pos[7] = yoff;\r
127 \r
128         xmax = MIN(MAX(MAX(MAX(pos[0], pos[2]), pos[4]), pos[6]), msg->clip.xmax);\r
129         xmin = MAX(MIN(MIN(MIN(pos[0], pos[2]), pos[4]), pos[6]), msg->clip.xmin);\r
130         \r
131         ymax = MIN(MAX(MAX(MAX(pos[1], pos[3]), pos[5]), pos[7]), msg->clip.ymax);\r
132         ymin = MAX(MIN(MIN(MIN(pos[1], pos[3]), pos[5]), pos[7]), msg->clip.ymin);\r
133         \r
134         //printk("xmax = %d, xmin = %d, ymin = %d, ymax = %d\n", xmax, xmin, ymin, ymax);\r
135     }\r
136     else if(msg->rotate_mode == 1)\r
137     {\r
138         if((sina == 0) || (cosa == 0))\r
139         {        \r
140             if((sina == 0) && (cosa == -65536))\r
141             {\r
142                 /* 180 */\r
143                 pos[0] = xoff - width + 1;\r
144                 pos[1] = yoff - height + 1;\r
145 \r
146                 pos[2] = xoff - width  + 1;\r
147                 pos[3] = yoff;\r
148 \r
149                 pos[4] = xoff;\r
150                 pos[5] = yoff;\r
151 \r
152                 pos[6] = xoff;\r
153                 pos[7] = yoff - height + 1;\r
154             }\r
155             else if((cosa == 0)&&(sina == 65536))\r
156             {\r
157                 /* 90 */\r
158                 pos[0] = xoff - height + 1;\r
159                 pos[1] = yoff;\r
160 \r
161                 pos[2] = xoff - height + 1;\r
162                 pos[3] = yoff + width - 1;\r
163 \r
164                 pos[4] = xoff;\r
165                 pos[5] = yoff + width - 1;\r
166 \r
167                 pos[6] = xoff;\r
168                 pos[7] = yoff;\r
169             }\r
170             else if((cosa == 0)&&(sina == -65536))\r
171             {\r
172                 /* 270 */\r
173                 pos[0] = xoff;\r
174                 pos[1] = yoff - width + 1;\r
175 \r
176                 pos[2] = xoff;\r
177                 pos[3] = yoff;\r
178 \r
179                 pos[4] = xoff + height - 1;\r
180                 pos[5] = yoff;\r
181 \r
182                 pos[6] = xoff + height - 1;\r
183                 pos[7] = yoff - width + 1;        \r
184             }\r
185             else\r
186             {\r
187                 /* 0 */\r
188                 pos[0] = xoff;\r
189                 pos[1] = yoff;\r
190 \r
191                 pos[2] = xoff;\r
192                 pos[3] = yoff + height - 1;\r
193 \r
194                 pos[4] = xoff + width - 1;\r
195                 pos[5] = yoff + height - 1;\r
196 \r
197                 pos[6] = xoff + width - 1;\r
198                 pos[7] = yoff;\r
199             }\r
200 \r
201             xmax = MIN(MAX(MAX(MAX(pos[0], pos[2]), pos[4]), pos[6]), msg->clip.xmax);\r
202             xmin = MAX(MIN(MIN(MIN(pos[0], pos[2]), pos[4]), pos[6]), msg->clip.xmin);\r
203             \r
204             ymax = MIN(MAX(MAX(MAX(pos[1], pos[3]), pos[5]), pos[7]), msg->clip.ymax);\r
205             ymin = MAX(MIN(MIN(MIN(pos[1], pos[3]), pos[5]), pos[7]), msg->clip.ymin);\r
206         }               \r
207         else\r
208         {\r
209             xx = msg->cosa;\r
210             xy = msg->sina;\r
211             yx = xy;\r
212             yy = xx;\r
213 \r
214             x0 = width + xoff;\r
215             y0 = yoff;\r
216 \r
217             x1 = xoff;\r
218             y1 = height + yoff;\r
219 \r
220             x2 = width + xoff;\r
221             y2 = height + yoff;\r
222             \r
223             pos[0] = xoff;\r
224             pos[1] = yoff;\r
225 \r
226             pos[2] = x00 = (((x0 - xoff)*xx - (y0 - yoff)*xy)>>16) + xoff;\r
227             pos[3] = y00 = (((x0 - xoff)*yx + (y0 - yoff)*yy)>>16) + yoff;\r
228 \r
229             pos[4] = x10 = (((x1 - xoff)*xx - (y1 - yoff)*xy)>>16) + xoff;\r
230             pos[5] = y10 = (((x1 - xoff)*yx + (y1 - yoff)*yy)>>16) + yoff;\r
231 \r
232             pos[6] = x20 = (((x2 - xoff)*xx - (y2 - yoff)*xy)>>16) + xoff;\r
233             pos[7] = y20 = (((x2 - xoff)*yx + (y2 - yoff)*yy)>>16) + yoff;\r
234 \r
235             xmax = MAX(MAX(MAX(x00, xoff), x10), x20) + 2;\r
236             xmin = MIN(MIN(MIN(x00, xoff), x10), x20) - 1;\r
237 \r
238             ymax = MAX(MAX(MAX(y00, yoff), y10), y20) + 2;\r
239             ymin = MIN(MIN(MIN(y00, yoff), y10), y20) - 1;\r
240 \r
241             xmax = MIN(xmax, msg->clip.xmax);\r
242             xmin = MAX(xmin, msg->clip.xmin);\r
243 \r
244             ymax = MIN(ymax, msg->clip.ymax);\r
245             ymin = MAX(ymin, msg->clip.ymin);\r
246 \r
247             //printk("xmin = %d, xmax = %d, ymin = %d, ymax = %d\n", xmin, xmax, ymin, ymax);\r
248         }\r
249     }    \r
250    \r
251     if ((xmax < xmin) || (ymax < ymin)) {    \r
252         xmin = xmax;\r
253         ymin = ymax;\r
254     }    \r
255     \r
256     if ((xmin >= msg->dst.vir_w)||(xmax < 0)||(ymin >= msg->dst.vir_h)||(ymax < 0)) {       \r
257         xmin = xmax = ymin = ymax = 0;\r
258     }\r
259 \r
260     //printk("xmin = %d, xmax = %d, ymin = %d, ymax = %d\n", xmin, xmax, ymin, ymax);\r
261     \r
262     tile->dst_ctrl.w = (xmax - xmin);\r
263     tile->dst_ctrl.h = (ymax - ymin);\r
264     tile->dst_ctrl.x_off = xmin;\r
265     tile->dst_ctrl.y_off = ymin;\r
266 \r
267     //printk("tile->dst_ctrl.w = %x, tile->dst_ctrl.h = %x\n", tile->dst_ctrl.w, tile->dst_ctrl.h);\r
268 \r
269     tile->tile_x_num = (xmax - xmin + 1 + 7)>>3;\r
270     tile->tile_y_num = (ymax - ymin + 1 + 7)>>3;\r
271 \r
272     tile->dst_x_tmp = xmin - msg->dst.x_offset;\r
273     tile->dst_y_tmp = ymin - msg->dst.y_offset;\r
274 }\r
275 \r
276 /*************************************************************    \r
277 Func:        \r
278     src_tile_info_cal    \r
279 Description:        \r
280     calculate src remap window position / width / height \r
281     and set the tile struct \r
282 Author:        \r
283     ZhangShengqin    \r
284 Date:        \r
285     20012-2-2 10:59:25 \r
286 **************************************************************/\r
287 \r
288 void\r
289 src_tile_info_cal(const struct rga_req *msg, TILE_INFO *tile)\r
290 {\r
291     s32 x0, x1, x2, x3, y0, y1, y2, y3; \r
292     \r
293     int64_t xx, xy, yx, yy;\r
294 \r
295     int64_t pos[8];\r
296     int64_t epos[8];\r
297 \r
298     int64_t x_dx, x_dy, y_dx, y_dy;\r
299     int64_t x_temp_start, y_temp_start;\r
300     int64_t xmax, xmin, ymax, ymin;\r
301 \r
302     int64_t t_xoff, t_yoff;\r
303 \r
304     xx = tile->matrix[0]; /* 32.32 */\r
305     xy = tile->matrix[1]; /* 32.32 */\r
306     yx = tile->matrix[2]; /* 32.32 */\r
307     yy = tile->matrix[3]; /* 32.32 */\r
308 \r
309     if(msg->rotate_mode == 1)\r
310     {    \r
311         x0 = tile->dst_x_tmp;\r
312         y0 = tile->dst_y_tmp;\r
313      \r
314         x1 = x0;\r
315         y1 = y0 + 8;\r
316 \r
317         x2 = x0 + 8;\r
318         y2 = y0 + 8;\r
319 \r
320         x3 = x0 + 8;\r
321         y3 = y0;\r
322        \r
323         pos[0] = (x0*xx + y0*yx); \r
324         pos[1] = (x0*xy + y0*yy);\r
325 \r
326         pos[2] = (x1*xx + y1*yx);\r
327         pos[3] = (x1*xy + y1*yy);\r
328 \r
329         pos[4] = (x2*xx + y2*yx);\r
330         pos[5] = (x2*xy + y2*yy);\r
331 \r
332         pos[6] = (x3*xx + y3*yx);\r
333         pos[7] = (x3*xy + y3*yy);\r
334 \r
335         y1 = y0 + 7;\r
336         x2 = x0 + 7;\r
337         y2 = y0 + 7;\r
338         x3 = x0 + 7;\r
339 \r
340         epos[0] = pos[0];\r
341         epos[1] = pos[1];\r
342 \r
343         epos[2] = (x1*xx + y1*yx);\r
344         epos[3] = (x1*xy + y1*yy);\r
345 \r
346         epos[4] = (x2*xx + y2*yx);\r
347         epos[5] = (x2*xy + y2*yy);\r
348 \r
349         epos[6] = (x3*xx + y3*yx);\r
350         epos[7] = (x3*xy + y3*yy);\r
351 \r
352         x_dx = pos[6] - pos[0];\r
353         x_dy = pos[7] - pos[1];\r
354 \r
355         y_dx = pos[2] - pos[0];\r
356         y_dy = pos[3] - pos[1];\r
357 \r
358         tile->x_dx = (s32)(x_dx >> 22 ); \r
359         tile->x_dy = (s32)(x_dy >> 22 ); \r
360         tile->y_dx = (s32)(y_dx >> 22 ); \r
361         tile->y_dy = (s32)(y_dy >> 22 ); \r
362         \r
363         x_temp_start = x0*xx + y0*yx;\r
364         y_temp_start = x0*xy + y0*yy;\r
365         \r
366         xmax = (MAX(MAX(MAX(epos[0], epos[2]), epos[4]), epos[6]));   \r
367         xmin = (MIN(MIN(MIN(epos[0], epos[2]), epos[4]), epos[6]));   \r
368 \r
369         ymax = (MAX(MAX(MAX(epos[1], epos[3]), epos[5]), epos[7]));\r
370         ymin = (MIN(MIN(MIN(epos[1], epos[3]), epos[5]), epos[7]));\r
371 \r
372         t_xoff = (x_temp_start - xmin)>>18;\r
373         t_yoff = (y_temp_start - ymin)>>18;\r
374         \r
375         tile->tile_xoff = (s32)t_xoff;\r
376         tile->tile_yoff = (s32)t_yoff;\r
377        \r
378         tile->tile_w = (u16)((xmax - xmin)>>21); //.11\r
379         tile->tile_h = (u16)((ymax - ymin)>>21); //.11\r
380 \r
381         tile->tile_start_x_coor = (s16)(xmin>>29); //.3\r
382         tile->tile_start_y_coor = (s16)(ymin>>29); //.3                    \r
383     }\r
384     else if (msg->rotate_mode == 2)\r
385     {\r
386         tile->x_dx = (s32)((8*xx)>>22);\r
387         tile->x_dy = 0;\r
388         tile->y_dx = 0;\r
389         tile->y_dy = (s32)((8*yy)>>22);\r
390                \r
391         tile->tile_w = ABS((s32)((7*xx)>>21));\r
392         tile->tile_h = ABS((s32)((7*yy)>>21));\r
393 \r
394         tile->tile_xoff = ABS((s32)((7*xx)>>18));\r
395         tile->tile_yoff = 0;\r
396 \r
397         tile->tile_start_x_coor = (((msg->src.act_w - 1)<<11) - (tile->tile_w))>>8;\r
398         tile->tile_start_y_coor = 0;                    \r
399     }\r
400     else if (msg->rotate_mode == 3)\r
401     {\r
402         tile->x_dx = (s32)((8*xx)>>22);\r
403         tile->x_dy = 0;\r
404         tile->y_dx = 0;\r
405         tile->y_dy = (s32)((8*yy)>>22);\r
406         \r
407         tile->tile_w = ABS((s32)((7*xx)>>21));\r
408         tile->tile_h = ABS((s32)((7*yy)>>21));\r
409 \r
410         tile->tile_xoff = 0;\r
411         tile->tile_yoff = ABS((s32)((7*yy)>>18));\r
412 \r
413         tile->tile_start_x_coor = 0;\r
414         tile->tile_start_y_coor = (((msg->src.act_h - 1)<<11) - (tile->tile_h))>>8;\r
415     }        \r
416 \r
417     if ((msg->scale_mode == 2)||(msg->alpha_rop_flag >> 7))\r
418     {\r
419         tile->tile_start_x_coor -= (1<<3);\r
420         tile->tile_start_y_coor -= (1<<3);\r
421         tile->tile_w += (2 << 11);\r
422         tile->tile_h += (2 << 11);\r
423         tile->tile_xoff += (1<<14);\r
424         tile->tile_yoff += (1<<14);\r
425     }\r
426 }\r
427 \r
428 \r
429 /*************************************************************    \r
430 Func:        \r
431     RGA_set_mode_ctrl    \r
432 Description:        \r
433     fill mode ctrl reg info \r
434 Author:        \r
435     ZhangShengqin    \r
436 Date:        \r
437     20012-2-2 10:59:25 \r
438 **************************************************************/\r
439 \r
440 void    \r
441 RGA_set_mode_ctrl(u8 *base, const struct rga_req *msg)\r
442 {\r
443     u32 *bRGA_MODE_CTL;\r
444     u32 reg = 0;\r
445     \r
446     u8 src_rgb_pack = 0;\r
447     u8 src_format = 0;\r
448     u8 src_rb_swp = 0;\r
449     u8 src_a_swp = 0;\r
450     u8 src_cbcr_swp = 0;\r
451 \r
452     u8 dst_rgb_pack = 0;\r
453     u8 dst_format = 0;\r
454     u8 dst_rb_swp = 0;\r
455     u8 dst_a_swp = 0;\r
456             \r
457     bRGA_MODE_CTL = (u32 *)(base + RGA_MODE_CTRL_OFFSET);\r
458     \r
459     reg = ((reg & (~m_RGA_MODE_CTRL_2D_RENDER_MODE)) | (s_RGA_MODE_CTRL_2D_RENDER_MODE(msg->render_mode)));   \r
460 \r
461     /* src info set */\r
462     \r
463     if (msg->render_mode == color_palette_mode || msg->render_mode == update_palette_table_mode)\r
464     {\r
465         src_format = 0x10 | (msg->palette_mode & 3);\r
466     }\r
467     else\r
468     {\r
469         switch (msg->src.format)\r
470         {\r
471             case RK_FORMAT_RGBA_8888    : src_format = 0x0; break;            \r
472             case RK_FORMAT_RGBA_4444    : src_format = 0x3; break;                         \r
473             case RK_FORMAT_RGBA_5551    : src_format = 0x2; break;            \r
474             case RK_FORMAT_BGRA_8888    : src_format = 0x0; src_rb_swp = 0x1; break;           \r
475             case RK_FORMAT_RGBX_8888    : src_format = 0x0; break;            \r
476             case RK_FORMAT_RGB_565      : src_format = 0x1; break;\r
477             case RK_FORMAT_RGB_888      : src_format = 0x0; src_rgb_pack = 1; break;\r
478             case RK_FORMAT_BGR_888      : src_format = 0x0; src_rgb_pack = 1; src_rb_swp = 1; break;\r
479             \r
480             case RK_FORMAT_YCbCr_422_SP : src_format = 0x4; break;            \r
481             case RK_FORMAT_YCbCr_422_P  : src_format = 0x5; break;                \r
482             case RK_FORMAT_YCbCr_420_SP : src_format = 0x6; break;                                \r
483             case RK_FORMAT_YCbCr_420_P  : src_format = 0x7; break;\r
484 \r
485             case RK_FORMAT_YCrCb_422_SP : src_format = 0x4; src_cbcr_swp = 1; break;            \r
486             case RK_FORMAT_YCrCb_422_P  : src_format = 0x5; src_cbcr_swp = 1; break;                \r
487             case RK_FORMAT_YCrCb_420_SP : src_format = 0x6; src_cbcr_swp = 1; break;                                \r
488             case RK_FORMAT_YCrCb_420_P  : src_format = 0x7; src_cbcr_swp = 1; break;\r
489         }                   \r
490     }\r
491 \r
492     src_a_swp = msg->src.alpha_swap & 1;\r
493 \r
494     reg = ((reg & (~m_RGA_MODE_CTRL_SRC_RGB_PACK))      | (s_RGA_MODE_CTRL_SRC_RGB_PACK(src_rgb_pack))); \r
495     reg = ((reg & (~m_RGA_MODE_CTRL_SRC_FORMAT))        | (s_RGA_MODE_CTRL_SRC_FORMAT(src_format)));\r
496     reg = ((reg & (~m_RGA_MODE_CTRL_SRC_RB_SWAP))       | (s_RGA_MODE_CTRL_SRC_RB_SWAP(src_rb_swp)));\r
497     reg = ((reg & (~m_RGA_MODE_CTRL_SRC_ALPHA_SWAP))    | (s_RGA_MODE_CTRL_SRC_ALPHA_SWAP(src_a_swp)));\r
498     reg = ((reg & (~m_RGA_MODE_CTRL_SRC_UV_SWAP_MODE )) | (s_RGA_MODE_CTRL_SRC_UV_SWAP_MODE (src_cbcr_swp)));\r
499     \r
500     \r
501     /* YUV2RGB MODE */    \r
502     reg = ((reg & (~m_RGA_MODE_CTRL_YUV2RGB_CON_MODE)) | (s_RGA_MODE_CTRL_YUV2RGB_CON_MODE(msg->yuv2rgb_mode)));\r
503 \r
504     /* ROTATE MODE */\r
505     reg = ((reg & (~m_RGA_MODE_CTRL_ROTATE_MODE)) | (s_RGA_MODE_CTRL_ROTATE_MODE(msg->rotate_mode)));\r
506 \r
507     /* SCALE MODE */\r
508     reg = ((reg & (~m_RGA_MODE_CTRL_SCALE_MODE)) | (s_RGA_MODE_CTRL_SCALE_MODE(msg->scale_mode)));\r
509 \r
510     /* COLOR FILL MODE */\r
511     reg = ((reg & (~m_RGA_MODE_CTRL_PAT_SEL)) | (s_RGA_MODE_CTRL_PAT_SEL(msg->color_fill_mode)));\r
512 \r
513     \r
514     if ((msg->render_mode == update_palette_table_mode)||(msg->render_mode == update_patten_buff_mode))\r
515     {\r
516         dst_format = msg->pat.format;\r
517     }\r
518     else\r
519     {\r
520         dst_format = (u8)msg->dst.format;\r
521     }\r
522     \r
523     /* dst info set */        \r
524     switch (dst_format)\r
525     {\r
526         case RK_FORMAT_BGRA_8888 : dst_format = 0x0; dst_rb_swp = 0x1; break;\r
527         case RK_FORMAT_RGBA_4444 : dst_format = 0x3; break;\r
528         case RK_FORMAT_RGBA_5551 : dst_format = 0x2; break;\r
529         case RK_FORMAT_RGBA_8888 : dst_format = 0x0; break;\r
530         case RK_FORMAT_RGB_565   : dst_format = 0x1; break;\r
531         case RK_FORMAT_RGB_888   : dst_format = 0x0; dst_rgb_pack = 0x1; break;\r
532         case RK_FORMAT_BGR_888   : dst_format = 0x0; dst_rgb_pack = 0x1; dst_rb_swp = 1; break;\r
533         case RK_FORMAT_RGBX_8888 : dst_format = 0x0; break;\r
534     }\r
535 \r
536     dst_a_swp = msg->dst.alpha_swap & 1;\r
537     \r
538     reg = ((reg & (~m_RGA_MODE_CTRL_DST_FORMAT))       | (s_RGA_MODE_CTRL_DST_FORMAT(dst_format))); \r
539     reg = ((reg & (~m_RGA_MODE_CTRL_DST_RGB_PACK))     | (s_RGA_MODE_CTRL_DST_RGB_PACK(dst_rgb_pack)));\r
540     reg = ((reg & (~m_RGA_MODE_CTRL_DST_RB_SWAP))      | (s_RGA_MODE_CTRL_DST_RB_SWAP(dst_rb_swp)));\r
541     reg = ((reg & (~m_RGA_MODE_CTRL_DST_ALPHA_SWAP))   | (s_RGA_MODE_CTRL_DST_ALPHA_SWAP(dst_a_swp)));\r
542     reg = ((reg & (~m_RGA_MODE_CTRL_LUT_ENDIAN_MODE))  | (s_RGA_MODE_CTRL_LUT_ENDIAN_MODE(msg->endian_mode & 1)));       \r
543     reg = ((reg & (~m_RGA_MODE_CTRL_SRC_TRANS_MODE))   | (s_RGA_MODE_CTRL_SRC_TRANS_MODE(msg->src_trans_mode)));\r
544     reg = ((reg & (~m_RGA_MODE_CTRL_ZERO_MODE_ENABLE)) | (s_RGA_MODE_CTRL_ZERO_MODE_ENABLE(msg->alpha_rop_mode >> 4)));\r
545     reg = ((reg & (~m_RGA_MODE_CTRL_DST_ALPHA_ENABLE)) | (s_RGA_MODE_CTRL_DST_ALPHA_ENABLE(msg->alpha_rop_mode >> 5)));\r
546 \r
547     *bRGA_MODE_CTL = reg;\r
548        \r
549 }\r
550 \r
551 \r
552 \r
553 /*************************************************************    \r
554 Func:        \r
555     RGA_set_src    \r
556 Description:        \r
557     fill src relate reg info \r
558 Author:        \r
559     ZhangShengqin    \r
560 Date:        \r
561     20012-2-2 10:59:25 \r
562 **************************************************************/\r
563 \r
564 void\r
565 RGA_set_src(u8 *base, const struct rga_req *msg)\r
566 {  \r
567     u32 *bRGA_SRC_VIR_INFO;\r
568     u32 *bRGA_SRC_ACT_INFO;\r
569     u32 *bRGA_SRC_Y_MST;\r
570     u32 *bRGA_SRC_CB_MST;\r
571     u32 *bRGA_SRC_CR_MST;\r
572 \r
573     s16 x_off, y_off, stride;\r
574     s16 uv_x_off, uv_y_off, uv_stride;\r
575     u32 pixel_width;\r
576 \r
577     uv_x_off = uv_y_off = uv_stride = 0;\r
578         \r
579     bRGA_SRC_Y_MST = (u32 *)(base + RGA_SRC_Y_MST_OFFSET);\r
580     bRGA_SRC_CB_MST = (u32 *)(base + RGA_SRC_CB_MST_OFFSET);\r
581     bRGA_SRC_CR_MST = (u32 *)(base + RGA_SRC_CR_MST_OFFSET);\r
582     bRGA_SRC_VIR_INFO = (u32 *)(base + RGA_SRC_VIR_INFO_OFFSET);\r
583     bRGA_SRC_ACT_INFO = (u32 *)(base + RGA_SRC_ACT_INFO_OFFSET);\r
584 \r
585     x_off  = msg->src.x_offset;\r
586     y_off  = msg->src.y_offset;\r
587 \r
588     pixel_width = RGA_pixel_width_init(msg->src.format);\r
589     \r
590     stride = ((msg->src.vir_w * pixel_width) + 3) & (~3);\r
591 \r
592     switch(msg->src.format)\r
593     {\r
594         case RK_FORMAT_YCbCr_422_SP : \r
595             uv_stride = stride; \r
596             uv_x_off = x_off; \r
597             uv_y_off = y_off; \r
598             break;\r
599         case RK_FORMAT_YCbCr_422_P  :\r
600             uv_stride = stride >> 1;\r
601             uv_x_off = x_off >> 1;\r
602             uv_y_off = y_off;\r
603             break;\r
604         case RK_FORMAT_YCbCr_420_SP :\r
605             uv_stride = stride;\r
606             uv_x_off = x_off;\r
607             uv_y_off = y_off >> 1;\r
608             break;\r
609         case RK_FORMAT_YCbCr_420_P :\r
610             uv_stride = stride >> 1;\r
611             uv_x_off = x_off >> 1;\r
612             uv_y_off = y_off >> 1;\r
613             break;\r
614         case RK_FORMAT_YCrCb_422_SP :\r
615             uv_stride = stride;\r
616             uv_x_off = x_off;\r
617             uv_y_off = y_off;\r
618             break;\r
619         case RK_FORMAT_YCrCb_422_P  :\r
620             uv_stride = stride >> 1;\r
621             uv_x_off = x_off >> 1;\r
622             uv_y_off = y_off;\r
623             break;\r
624         case RK_FORMAT_YCrCb_420_SP :\r
625             uv_stride = stride;\r
626             uv_x_off = x_off;\r
627             uv_y_off = y_off >> 1;\r
628             break;\r
629         case RK_FORMAT_YCrCb_420_P :\r
630             uv_stride = stride >> 1;\r
631             uv_x_off = x_off >> 1;\r
632             uv_y_off = y_off >> 1;\r
633             break;            \r
634     }        \r
635 \r
636 \r
637     /* src addr set */          \r
638     *bRGA_SRC_Y_MST = msg->src.yrgb_addr + (y_off * stride) + (x_off * pixel_width);\r
639     *bRGA_SRC_CB_MST = msg->src.uv_addr + uv_y_off * uv_stride + uv_x_off;\r
640     *bRGA_SRC_CR_MST = msg->src.v_addr + uv_y_off * uv_stride + uv_x_off;\r
641 \r
642     if((msg->alpha_rop_flag >> 1) & 1)\r
643         *bRGA_SRC_CB_MST = (u32)msg->rop_mask_addr;\r
644 \r
645     if (msg->render_mode == color_palette_mode)\r
646     {\r
647         u8 shift;\r
648         u16 sw, byte_num;\r
649         shift = 3 - (msg->palette_mode & 3);\r
650         sw = msg->src.vir_w;\r
651 \r
652         byte_num = sw >> shift;\r
653         stride = (byte_num + 3) & (~3);\r
654     }    \r
655 \r
656     /* src act window / vir window set */ \r
657     *bRGA_SRC_VIR_INFO = ((stride >> 2) | (msg->src.vir_h)<<16);\r
658     *bRGA_SRC_ACT_INFO = ((msg->src.act_w-1) | (msg->src.act_h-1)<<16);\r
659 }\r
660 \r
661 \r
662 /*************************************************************    \r
663 Func:        \r
664     RGA_set_dst    \r
665 Description:        \r
666     fill dst relate reg info \r
667 Author:        \r
668     ZhangShengqin    \r
669 Date:        \r
670     20012-2-2 10:59:25 \r
671 **************************************************************/\r
672 \r
673 s32 RGA_set_dst(u8 *base, const struct rga_req *msg)\r
674 {\r
675     u32 *bRGA_DST_MST;\r
676     u32 *bRGA_DST_VIR_INFO;\r
677     u32 *bRGA_DST_CTR_INFO;\r
678     u32 *bRGA_PRESCL_CB_MST;\r
679     u32 *bRGA_PRESCL_CR_MST;\r
680     u32 reg = 0;\r
681 \r
682     u8 pw;\r
683     s16 x_off = msg->dst.x_offset;\r
684     s16 y_off = msg->dst.y_offset;\r
685     u16 stride, rop_mask_stride;\r
686 \r
687     bRGA_DST_MST = (u32 *)(base + RGA_DST_MST_OFFSET);\r
688     bRGA_DST_VIR_INFO = (u32 *)(base + RGA_DST_VIR_INFO_OFFSET);\r
689     bRGA_DST_CTR_INFO = (u32 *)(base + RGA_DST_CTR_INFO_OFFSET);\r
690     bRGA_PRESCL_CB_MST = (u32 *)(base + RGA_PRESCL_CB_MST_OFFSET);\r
691     bRGA_PRESCL_CR_MST = (u32 *)(base + RGA_PRESCL_CR_MST_OFFSET);\r
692 \r
693     pw = RGA_pixel_width_init(msg->dst.format);\r
694 \r
695     stride = (msg->dst.vir_w * pw + 3) & (~3);\r
696 \r
697     *bRGA_DST_MST = (u32)msg->dst.yrgb_addr + (y_off * stride) + (x_off * pw);\r
698 \r
699     if (msg->render_mode == pre_scaling_mode)\r
700     {\r
701         switch(msg->dst.format)\r
702         {\r
703             case RK_FORMAT_YCbCr_422_SP : \r
704                 *bRGA_PRESCL_CB_MST = (u32)msg->dst.uv_addr + ((y_off) * stride) + ((x_off) * pw);\r
705                 break;\r
706             case RK_FORMAT_YCbCr_422_P  :\r
707                 *bRGA_PRESCL_CB_MST = (u32)msg->dst.uv_addr + ((y_off) * stride) + ((x_off>>1) * pw);\r
708                 *bRGA_PRESCL_CR_MST = (u32)msg->dst.v_addr  + ((y_off) * stride) + ((x_off>>1) * pw);\r
709                 break;\r
710             case RK_FORMAT_YCbCr_420_SP :\r
711                 *bRGA_PRESCL_CB_MST = (u32)msg->dst.uv_addr + ((y_off>>1) * stride) + ((x_off) * pw);\r
712                 break;\r
713             case RK_FORMAT_YCbCr_420_P :\r
714                 *bRGA_PRESCL_CB_MST = (u32)msg->dst.uv_addr + ((y_off>>1) * stride) + ((x_off>>1) * pw);\r
715                 *bRGA_PRESCL_CR_MST = (u32)msg->dst.v_addr  + ((y_off>>1) * stride) + ((x_off>>1) * pw);\r
716                 break;\r
717             case RK_FORMAT_YCrCb_422_SP :\r
718                 *bRGA_PRESCL_CB_MST = (u32)msg->dst.uv_addr + ((y_off) * stride) + ((x_off) * pw);\r
719                 break;\r
720             case RK_FORMAT_YCrCb_422_P  :\r
721                 *bRGA_PRESCL_CB_MST = (u32)msg->dst.uv_addr + ((y_off) * stride) + ((x_off>>1) * pw);\r
722                 *bRGA_PRESCL_CR_MST = (u32)msg->dst.v_addr  + ((y_off) * stride) + ((x_off>>1) * pw);\r
723                 break;\r
724             case RK_FORMAT_YCrCb_420_SP :\r
725                 *bRGA_PRESCL_CB_MST = (u32)msg->dst.uv_addr + ((y_off>>1) * stride) + ((x_off) * pw);\r
726                 break;\r
727             case RK_FORMAT_YCrCb_420_P :\r
728                 *bRGA_PRESCL_CB_MST = (u32)msg->dst.uv_addr + ((y_off>>1) * stride) + ((x_off>>1) * pw);\r
729                 *bRGA_PRESCL_CR_MST = (u32)msg->dst.v_addr  + ((y_off>>1) * stride) + ((x_off>>1) * pw);\r
730                 break;            \r
731         }             \r
732     }\r
733 \r
734     rop_mask_stride = (((msg->src.vir_w + 7)>>3) + 3) & (~3);//not dst_vir.w,hxx,2011.7.21\r
735     \r
736     reg = (stride >> 2) & 0xffff;\r
737     reg = reg | ((rop_mask_stride>>2) << 16);\r
738 \r
739     #if defined(CONFIG_ARCH_RK2928)\r
740     reg = reg | ((msg->alpha_rop_mode & 3) << 28);\r
741     #endif\r
742 \r
743     if (msg->render_mode == line_point_drawing_mode)\r
744     {\r
745         reg &= 0xffff;\r
746         reg = reg | (msg->dst.vir_h << 16);        \r
747     }\r
748 \r
749     *bRGA_DST_VIR_INFO = reg;\r
750     *bRGA_DST_CTR_INFO = (msg->dst.act_w - 1) | ((msg->dst.act_h - 1) << 16);\r
751 \r
752     return 0;    \r
753 }\r
754 \r
755 \r
756 /*************************************************************    \r
757 Func:        \r
758     RGA_set_alpha_rop    \r
759 Description:        \r
760     fill alpha rop some relate reg bit \r
761 Author:        \r
762     ZhangShengqin    \r
763 Date:        \r
764     20012-2-2 10:59:25 \r
765 **************************************************************/\r
766 void\r
767 RGA_set_alpha_rop(u8 *base, const struct rga_req *msg)\r
768 {\r
769     u32 *bRGA_ALPHA_CON;\r
770     u32 *bRGA_ROP_CON0;\r
771     u32 *bRGA_ROP_CON1;\r
772     u32 reg = 0;\r
773     u32 rop_con0, rop_con1;\r
774     \r
775     u8 rop_mode = (msg->alpha_rop_mode) & 3;\r
776     u8 alpha_mode = msg->alpha_rop_mode & 3;\r
777 \r
778     rop_con0 = rop_con1 = 0;\r
779     \r
780     bRGA_ALPHA_CON = (u32 *)(base + RGA_ALPHA_CON_OFFSET);\r
781 \r
782     reg = ((reg & (~m_RGA_ALPHA_CON_ENABLE) )| (s_RGA_ALPHA_CON_ENABLE(msg->alpha_rop_flag & 1)));\r
783     reg = ((reg & (~m_RGA_ALPHA_CON_A_OR_R_SEL)) | (s_RGA_ALPHA_CON_A_OR_R_SEL((msg->alpha_rop_flag >> 1) & 1)));\r
784     reg = ((reg & (~m_RGA_ALPHA_CON_ALPHA_MODE)) | (s_RGA_ALPHA_CON_ALPHA_MODE(alpha_mode)));\r
785     reg = ((reg & (~m_RGA_ALPHA_CON_PD_MODE)) | (s_RGA_ALPHA_CON_PD_MODE(msg->PD_mode)));\r
786     reg = ((reg & (~m_RGA_ALPHA_CON_SET_CONSTANT_VALUE)) | (s_RGA_ALPHA_CON_SET_CONSTANT_VALUE(msg->alpha_global_value)));\r
787     reg = ((reg & (~m_RGA_ALPHA_CON_PD_M_SEL)) | (s_RGA_ALPHA_CON_PD_M_SEL(msg->alpha_rop_flag >> 3)));\r
788     reg = ((reg & (~m_RGA_ALPHA_CON_FADING_ENABLE)) | (s_RGA_ALPHA_CON_FADING_ENABLE(msg->alpha_rop_flag >> 2)));\r
789     reg = ((reg & (~m_RGA_ALPHA_CON_ROP_MODE_SEL)) | (s_RGA_ALPHA_CON_ROP_MODE_SEL(rop_mode)));\r
790     reg = ((reg & (~m_RGA_ALPHA_CON_CAL_MODE_SEL)) | (s_RGA_ALPHA_CON_CAL_MODE_SEL(msg->alpha_rop_flag >> 4)));\r
791     reg = ((reg & (~m_RGA_ALPHA_CON_DITHER_ENABLE)) | (s_RGA_ALPHA_CON_DITHER_ENABLE(msg->alpha_rop_flag >> 5)));\r
792     reg = ((reg & (~m_RGA_ALPHA_CON_GRADIENT_CAL_MODE)) | (s_RGA_ALPHA_CON_GRADIENT_CAL_MODE(msg->alpha_rop_flag >> 6)));\r
793     reg = ((reg & (~m_RGA_ALPHA_CON_AA_SEL)) | (s_RGA_ALPHA_CON_AA_SEL(msg->alpha_rop_flag >> 7)));\r
794     \r
795     *bRGA_ALPHA_CON = reg;\r
796 \r
797     if(rop_mode == 0) {  \r
798         rop_con0 =  ROP3_code[(msg->rop_code & 0xff)];\r
799     }\r
800     else if(rop_mode == 1) {\r
801         rop_con0 =  ROP3_code[(msg->rop_code & 0xff)];\r
802     }\r
803     else if(rop_mode == 2) {\r
804         rop_con0 =  ROP3_code[(msg->rop_code & 0xff)];\r
805         rop_con1 =  ROP3_code[(msg->rop_code & 0xff00)>>8];\r
806     }\r
807         \r
808     bRGA_ROP_CON0 = (u32 *)(base + RGA_ROP_CON0_OFFSET);\r
809     bRGA_ROP_CON1 = (u32 *)(base + RGA_ROP_CON1_OFFSET);\r
810 \r
811     *bRGA_ROP_CON0 = (u32)rop_con0;\r
812     *bRGA_ROP_CON1 = (u32)rop_con1;            \r
813 }\r
814 \r
815 \r
816 /*************************************************************    \r
817 Func:        \r
818     RGA_set_color    \r
819 Description:        \r
820     fill color some relate reg bit\r
821     bg_color/fg_color\r
822 Author:        \r
823     ZhangShengqin    \r
824 Date:        \r
825     20012-2-2 10:59:25 \r
826 **************************************************************/\r
827 \r
828 void\r
829 RGA_set_color(u8 *base, const struct rga_req *msg)\r
830 {\r
831     u32 *bRGA_SRC_TR_COLOR0;\r
832     u32 *bRGA_SRC_TR_COLOR1;\r
833     u32 *bRGA_SRC_BG_COLOR;\r
834     u32 *bRGA_SRC_FG_COLOR;\r
835     \r
836         \r
837     bRGA_SRC_BG_COLOR  = (u32 *)(base + RGA_SRC_BG_COLOR_OFFSET);\r
838     bRGA_SRC_FG_COLOR  = (u32 *)(base + RGA_SRC_FG_COLOR_OFFSET);\r
839     \r
840     *bRGA_SRC_BG_COLOR = msg->bg_color;    /* 1bpp 0 */\r
841     *bRGA_SRC_FG_COLOR = msg->fg_color;    /* 1bpp 1 */\r
842     \r
843     bRGA_SRC_TR_COLOR0 = (u32 *)(base + RGA_SRC_TR_COLOR0_OFFSET);    \r
844     bRGA_SRC_TR_COLOR1 = (u32 *)(base + RGA_SRC_TR_COLOR1_OFFSET);\r
845 \r
846     *bRGA_SRC_TR_COLOR0 = msg->color_key_min;\r
847     *bRGA_SRC_TR_COLOR1 = msg->color_key_max;\r
848 }\r
849 \r
850 \r
851 /*************************************************************    \r
852 Func:        \r
853     RGA_set_fading    \r
854 Description:        \r
855     fill fading some relate reg bit\r
856 Author:        \r
857     ZhangShengqin    \r
858 Date:        \r
859     20012-2-2 10:59:25 \r
860 **************************************************************/\r
861 \r
862 s32\r
863 RGA_set_fading(u8 *base, const struct rga_req *msg)\r
864 {\r
865     u32 *bRGA_FADING_CON;\r
866     u8 r, g, b;\r
867     u32 reg = 0;\r
868 \r
869     bRGA_FADING_CON = (u32 *)(base + RGA_FADING_CON_OFFSET);\r
870 \r
871     b = msg->fading.b;\r
872     g = msg->fading.g;\r
873     r = msg->fading.r;\r
874 \r
875     reg = (r<<8) | (g<<16) | (b<<24) | reg;\r
876 \r
877     *bRGA_FADING_CON = reg; \r
878     \r
879     return 0;\r
880 }\r
881 \r
882 \r
883 /*************************************************************    \r
884 Func:        \r
885     RGA_set_pat    \r
886 Description:        \r
887     fill patten some relate reg bit\r
888 Author:        \r
889     ZhangShengqin    \r
890 Date:        \r
891     20012-2-2 10:59:25 \r
892 **************************************************************/\r
893 \r
894 s32\r
895 RGA_set_pat(u8 *base, const struct rga_req *msg)\r
896 {\r
897     u32 *bRGA_PAT_CON;\r
898     u32 *bRGA_PAT_START_POINT;\r
899     u32 reg = 0;\r
900 \r
901     bRGA_PAT_START_POINT = (u32 *)(base + RGA_PAT_START_POINT_OFFSET);\r
902 \r
903     bRGA_PAT_CON = (u32 *)(base + RGA_PAT_CON_OFFSET);\r
904     \r
905     *bRGA_PAT_START_POINT = (msg->pat.act_w * msg->pat.y_offset) + msg->pat.x_offset;\r
906 \r
907     reg = (msg->pat.act_w - 1) | ((msg->pat.act_h - 1) << 8) | (msg->pat.x_offset << 16) | (msg->pat.y_offset << 24);\r
908     *bRGA_PAT_CON = reg;\r
909 \r
910     return 0;\r
911 }\r
912 \r
913 \r
914 \r
915 \r
916 /*************************************************************    \r
917 Func:        \r
918     RGA_set_bitblt_reg_info    \r
919 Description:        \r
920     fill bitblt mode relate ren info\r
921 Author:        \r
922     ZhangShengqin    \r
923 Date:        \r
924     20012-2-2 10:59:25 \r
925 **************************************************************/\r
926 \r
927 void   \r
928 RGA_set_bitblt_reg_info(u8 *base, const struct rga_req * msg, TILE_INFO *tile)\r
929 {\r
930     u32 *bRGA_SRC_Y_MST;\r
931     u32 *bRGA_SRC_CB_MST;\r
932     u32 *bRGA_SRC_CR_MST;\r
933     u32 *bRGA_SRC_X_PARA;\r
934     u32 *bRGA_SRC_Y_PARA;\r
935     u32 *bRGA_SRC_TILE_XINFO;\r
936     u32 *bRGA_SRC_TILE_YINFO;\r
937     u32 *bRGA_SRC_TILE_H_INCR;\r
938     u32 *bRGA_SRC_TILE_V_INCR;\r
939     u32 *bRGA_SRC_TILE_OFFSETX;\r
940     u32 *bRGA_SRC_TILE_OFFSETY;\r
941 \r
942     u32 *bRGA_DST_MST;\r
943     u32 *bRGA_DST_CTR_INFO;\r
944 \r
945     s32 m0, m1, m2, m3;\r
946     s32 pos[8];\r
947     //s32 x_dx, x_dy, y_dx, y_dy;\r
948     s32 xmin, xmax, ymin, ymax;\r
949     s32 xp, yp;\r
950     u32 y_addr, u_addr, v_addr;\r
951     u32 pixel_width, stride;\r
952 \r
953     u_addr = v_addr = 0;\r
954 \r
955     /* src info */\r
956 \r
957     bRGA_SRC_Y_MST = (u32 *)(base + RGA_SRC_Y_MST_OFFSET);\r
958     bRGA_SRC_CB_MST = (u32 *)(base + RGA_SRC_CB_MST_OFFSET);\r
959     bRGA_SRC_CR_MST = (u32 *)(base + RGA_SRC_CR_MST_OFFSET);\r
960 \r
961     bRGA_SRC_X_PARA = (u32 *)(base + RGA_SRC_X_PARA_OFFSET);\r
962     bRGA_SRC_Y_PARA = (u32 *)(base + RGA_SRC_Y_PARA_OFFSET);\r
963         \r
964     bRGA_SRC_TILE_XINFO = (u32 *)(base + RGA_SRC_TILE_XINFO_OFFSET);\r
965     bRGA_SRC_TILE_YINFO = (u32 *)(base + RGA_SRC_TILE_YINFO_OFFSET);\r
966     bRGA_SRC_TILE_H_INCR = (u32 *)(base + RGA_SRC_TILE_H_INCR_OFFSET);\r
967     bRGA_SRC_TILE_V_INCR = (u32 *)(base + RGA_SRC_TILE_V_INCR_OFFSET);    \r
968     bRGA_SRC_TILE_OFFSETX = (u32 *)(base + RGA_SRC_TILE_OFFSETX_OFFSET);\r
969     bRGA_SRC_TILE_OFFSETY = (u32 *)(base + RGA_SRC_TILE_OFFSETY_OFFSET);\r
970 \r
971     bRGA_DST_MST = (u32 *)(base + RGA_DST_MST_OFFSET);\r
972     bRGA_DST_CTR_INFO = (u32 *)(base + RGA_DST_CTR_INFO_OFFSET);\r
973 \r
974     /* Matrix reg fill */   \r
975     m0 = (s32)(tile->matrix[0] >> 18);\r
976     m1 = (s32)(tile->matrix[1] >> 18);\r
977     m2 = (s32)(tile->matrix[2] >> 18);\r
978     m3 = (s32)(tile->matrix[3] >> 18);\r
979 \r
980     *bRGA_SRC_X_PARA = (m0 & 0xffff) | (m2 << 16);\r
981     *bRGA_SRC_Y_PARA = (m1 & 0xffff) | (m3 << 16);\r
982     \r
983     /* src tile information setting */    \r
984     if(msg->rotate_mode != 0)//add by hxx,2011.7.12,for rtl0707,when line scanning ,do not calc src tile info\r
985     {\r
986         *bRGA_SRC_TILE_XINFO = (tile->tile_start_x_coor & 0xffff) | (tile->tile_w << 16);\r
987         *bRGA_SRC_TILE_YINFO = (tile->tile_start_y_coor & 0xffff) | (tile->tile_h << 16);\r
988 \r
989         *bRGA_SRC_TILE_H_INCR = ((tile->x_dx) & 0xffff) | ((tile->x_dy) << 16);\r
990         *bRGA_SRC_TILE_V_INCR = ((tile->y_dx) & 0xffff) | ((tile->y_dy) << 16);\r
991 \r
992         *bRGA_SRC_TILE_OFFSETX = tile->tile_xoff;\r
993         *bRGA_SRC_TILE_OFFSETY = tile->tile_yoff;\r
994     }\r
995 \r
996     pixel_width = RGA_pixel_width_init(msg->src.format);\r
997     \r
998     stride = ((msg->src.vir_w * pixel_width) + 3) & (~3);\r
999 \r
1000     if ((msg->rotate_mode == 1)||(msg->rotate_mode == 2)||(msg->rotate_mode == 3))\r
1001     {\r
1002         pos[0] = tile->tile_start_x_coor<<8;\r
1003         pos[1] = tile->tile_start_y_coor<<8;\r
1004 \r
1005         pos[2] = pos[0];\r
1006         pos[3] = pos[1] + tile->tile_h;\r
1007 \r
1008         pos[4] = pos[0] + tile->tile_w;\r
1009         pos[5] = pos[1] + tile->tile_h;\r
1010 \r
1011         pos[6] = pos[0] + tile->tile_w;\r
1012         pos[7] = pos[1];\r
1013 \r
1014         pos[0] >>= 11;\r
1015         pos[1] >>= 11;\r
1016 \r
1017         pos[2] >>= 11;\r
1018         pos[3] >>= 11;\r
1019 \r
1020         pos[4] >>= 11;\r
1021         pos[5] >>= 11;\r
1022 \r
1023         pos[6] >>= 11;\r
1024         pos[7] >>= 11;\r
1025 \r
1026         xmax = (MAX(MAX(MAX(pos[0], pos[2]), pos[4]), pos[6]) + 1);        \r
1027         xmin = (MIN(MIN(MIN(pos[0], pos[2]), pos[4]), pos[6]));        \r
1028 \r
1029         ymax = (MAX(MAX(MAX(pos[1], pos[3]), pos[5]), pos[7]) + 1);        \r
1030         ymin = (MIN(MIN(MIN(pos[1], pos[3]), pos[5]), pos[7])); \r
1031 \r
1032         xp = xmin + msg->src.x_offset;\r
1033         yp = ymin + msg->src.y_offset;\r
1034 \r
1035         if (!((xmax < 0)||(xmin > msg->src.act_w - 1)||(ymax < 0)||(ymin > msg->src.act_h - 1)))\r
1036         {\r
1037             xp = CLIP(xp, msg->src.x_offset, msg->src.x_offset + msg->src.act_w - 1);\r
1038             yp = CLIP(yp, msg->src.y_offset, msg->src.y_offset + msg->src.act_h - 1);\r
1039         }\r
1040         \r
1041         switch(msg->src.format)\r
1042         {        \r
1043             case RK_FORMAT_YCbCr_420_P :\r
1044                 y_addr = msg->src.yrgb_addr + yp*stride + xp;\r
1045                 u_addr = msg->src.uv_addr + (yp>>1)*(stride>>1) + (xp>>1);\r
1046                 v_addr = msg->src.v_addr  + (yp>>1)*(stride>>1) + (xp>>1);\r
1047                 break;\r
1048             case RK_FORMAT_YCbCr_420_SP :\r
1049                 y_addr = msg->src.yrgb_addr + yp*stride + xp;\r
1050                 u_addr = msg->src.uv_addr + (yp>>1)*stride + ((xp>>1)<<1);                        \r
1051                 break;\r
1052             case RK_FORMAT_YCbCr_422_P : \r
1053                 y_addr = msg->src.yrgb_addr + yp*stride + xp;\r
1054                 u_addr = msg->src.uv_addr + (yp)*(stride>>1) + (xp>>1);\r
1055                 v_addr = msg->src.v_addr  + (yp)*(stride>>1) + (xp>>1);\r
1056                 break;\r
1057             case RK_FORMAT_YCbCr_422_SP:\r
1058                 y_addr = msg->src.yrgb_addr + yp*stride + xp;\r
1059                 u_addr = msg->src.uv_addr  + yp*stride + ((xp>>1)<<1);\r
1060                 break;\r
1061             case RK_FORMAT_YCrCb_420_P :\r
1062                 y_addr = msg->src.yrgb_addr + yp*stride + xp;\r
1063                 u_addr = msg->src.uv_addr + (yp>>1)*(stride>>1) + (xp>>1);\r
1064                 v_addr = msg->src.v_addr  + (yp>>1)*(stride>>1) + (xp>>1);\r
1065                 break;\r
1066             case RK_FORMAT_YCrCb_420_SP :\r
1067                 y_addr = msg->src.yrgb_addr + yp*stride + xp;\r
1068                 u_addr = msg->src.uv_addr + (yp>>1)*stride + ((xp>>1)<<1);                        \r
1069                 break;\r
1070             case RK_FORMAT_YCrCb_422_P : \r
1071                 y_addr = msg->src.yrgb_addr + yp*stride + xp;\r
1072                 u_addr = msg->src.uv_addr + (yp)*(stride>>1) + (xp>>1);\r
1073                 v_addr = msg->src.v_addr  + (yp)*(stride>>1) + (xp>>1);\r
1074                 break;\r
1075             case RK_FORMAT_YCrCb_422_SP:\r
1076                 y_addr = msg->src.yrgb_addr + yp*stride + xp;\r
1077                 u_addr = msg->src.uv_addr  + yp*stride + ((xp>>1)<<1);\r
1078                 break;                    \r
1079             default :\r
1080                 y_addr = msg->src.yrgb_addr + yp*stride + xp*pixel_width;\r
1081                 break;\r
1082         }\r
1083 \r
1084         *bRGA_SRC_Y_MST = y_addr;\r
1085         *bRGA_SRC_CB_MST = u_addr;\r
1086         *bRGA_SRC_CR_MST = v_addr;\r
1087     }\r
1088     \r
1089     /*dst info*/\r
1090     pixel_width = RGA_pixel_width_init(msg->dst.format);\r
1091     stride = (msg->dst.vir_w * pixel_width + 3) & (~3);\r
1092     *bRGA_DST_MST = (u32)msg->dst.yrgb_addr + (tile->dst_ctrl.y_off * stride) + (tile->dst_ctrl.x_off * pixel_width);\r
1093     *bRGA_DST_CTR_INFO = (tile->dst_ctrl.w) | ((tile->dst_ctrl.h) << 16);\r
1094 \r
1095     *bRGA_DST_CTR_INFO |= (1<<29);\r
1096 }\r
1097 \r
1098 \r
1099 \r
1100 \r
1101 /*************************************************************    \r
1102 Func:        \r
1103     RGA_set_color_palette_reg_info    \r
1104 Description:        \r
1105     fill color palette process some relate reg bit\r
1106 Author:        \r
1107     ZhangShengqin    \r
1108 Date:        \r
1109     20012-2-2 10:59:25 \r
1110 **************************************************************/\r
1111 \r
1112 void\r
1113 RGA_set_color_palette_reg_info(u8 *base, const struct rga_req *msg)\r
1114 {\r
1115     u32 *bRGA_SRC_Y_MST;\r
1116     u32 p;\r
1117     s16 x_off, y_off;\r
1118     u16 src_stride;\r
1119     u8  shift;\r
1120     u16 sw, byte_num;\r
1121 \r
1122     x_off = msg->src.x_offset;\r
1123     y_off = msg->src.y_offset;\r
1124 \r
1125     sw = msg->src.vir_w;\r
1126     shift = 3 - (msg->palette_mode & 3);    \r
1127     byte_num = sw >> shift;\r
1128     src_stride = (byte_num + 3) & (~3);\r
1129    \r
1130     p = msg->src.yrgb_addr;        \r
1131     p = p + (x_off>>shift) + y_off*src_stride;\r
1132 \r
1133     bRGA_SRC_Y_MST = (u32 *)(base + RGA_SRC_Y_MST_OFFSET); \r
1134     *bRGA_SRC_Y_MST = (u32)p;        \r
1135 }\r
1136 \r
1137 \r
1138 /*************************************************************    \r
1139 Func:        \r
1140     RGA_set_color_fill_reg_info    \r
1141 Description:        \r
1142     fill color fill process some relate reg bit\r
1143 Author:        \r
1144     ZhangShengqin    \r
1145 Date:        \r
1146     20012-2-2 10:59:25 \r
1147 **************************************************************/\r
1148 void\r
1149 RGA_set_color_fill_reg_info(u8 *base, const struct rga_req *msg)\r
1150 {\r
1151 \r
1152     u32 *bRGA_CP_GR_A;\r
1153     u32 *bRGA_CP_GR_B;\r
1154     u32 *bRGA_CP_GR_G;\r
1155     u32 *bRGA_CP_GR_R;\r
1156 \r
1157     u32 *bRGA_PAT_CON;\r
1158 \r
1159     bRGA_CP_GR_A = (u32 *)(base + RGA_CP_GR_A_OFFSET);\r
1160     bRGA_CP_GR_B = (u32 *)(base + RGA_CP_GR_B_OFFSET);\r
1161     bRGA_CP_GR_G = (u32 *)(base + RGA_CP_GR_G_OFFSET);\r
1162     bRGA_CP_GR_R = (u32 *)(base + RGA_CP_GR_R_OFFSET);\r
1163 \r
1164     bRGA_PAT_CON = (u32 *)(base + RGA_PAT_CON_OFFSET);\r
1165 \r
1166     *bRGA_CP_GR_A = (msg->gr_color.gr_x_a & 0xffff) | (msg->gr_color.gr_y_a << 16);\r
1167     *bRGA_CP_GR_B = (msg->gr_color.gr_x_b & 0xffff) | (msg->gr_color.gr_y_b << 16);\r
1168     *bRGA_CP_GR_G = (msg->gr_color.gr_x_g & 0xffff) | (msg->gr_color.gr_y_g << 16);\r
1169     *bRGA_CP_GR_R = (msg->gr_color.gr_x_r & 0xffff) | (msg->gr_color.gr_y_r << 16);\r
1170 \r
1171     *bRGA_PAT_CON = (msg->pat.vir_w-1) | ((msg->pat.vir_h-1) << 8) | (msg->pat.x_offset << 16) | (msg->pat.y_offset << 24);\r
1172     \r
1173 }\r
1174 \r
1175 \r
1176 /*************************************************************    \r
1177 Func:        \r
1178     RGA_set_line_drawing_reg_info    \r
1179 Description:        \r
1180     fill line drawing process some relate reg bit\r
1181 Author:        \r
1182     ZhangShengqin    \r
1183 Date:        \r
1184     20012-2-2 10:59:25 \r
1185 **************************************************************/\r
1186 \r
1187 s32 RGA_set_line_drawing_reg_info(u8 *base, const struct rga_req *msg)\r
1188 {\r
1189     u32 *bRGA_LINE_DRAW;\r
1190     u32 *bRGA_DST_VIR_INFO;\r
1191     u32 *bRGA_LINE_DRAW_XY_INFO;\r
1192     u32 *bRGA_LINE_DRAW_WIDTH;\r
1193     u32 *bRGA_LINE_DRAWING_COLOR;    \r
1194     u32 *bRGA_LINE_DRAWING_MST;\r
1195     \r
1196     u32  reg = 0;\r
1197 \r
1198     s16 x_width, y_width;\r
1199     u16 abs_x, abs_y, delta;\r
1200     u16 stride;\r
1201     u8 pw;\r
1202     u32 start_addr;\r
1203     u8 line_dir, dir_major, dir_semi_major;\r
1204     u16 major_width;\r
1205     \r
1206     bRGA_LINE_DRAW = (u32 *)(base + RGA_LINE_DRAW_OFFSET);\r
1207     bRGA_DST_VIR_INFO = (u32 *)(base + RGA_DST_VIR_INFO_OFFSET);\r
1208     bRGA_LINE_DRAW_XY_INFO = (u32 *)(base + RGA_LINE_DRAW_XY_INFO_OFFSET);\r
1209     bRGA_LINE_DRAW_WIDTH = (u32 *)(base + RGA_LINE_DRAWING_WIDTH_OFFSET);\r
1210     bRGA_LINE_DRAWING_COLOR = (u32 *)(base + RGA_LINE_DRAWING_COLOR_OFFSET);\r
1211     bRGA_LINE_DRAWING_MST = (u32 *)(base + RGA_LINE_DRAWING_MST_OFFSET);\r
1212 \r
1213     pw = RGA_pixel_width_init(msg->dst.format);\r
1214 \r
1215     stride = (msg->dst.vir_w * pw + 3) & (~3);\r
1216 \r
1217     start_addr = msg->dst.yrgb_addr \r
1218                 + (msg->line_draw_info.start_point.y * stride) \r
1219                 + (msg->line_draw_info.start_point.x * pw);\r
1220 \r
1221     x_width = msg->line_draw_info.start_point.x - msg->line_draw_info.end_point.x;\r
1222     y_width = msg->line_draw_info.start_point.y - msg->line_draw_info.end_point.y;\r
1223 \r
1224     abs_x = abs(x_width);\r
1225     abs_y = abs(y_width); \r
1226     \r
1227     if (abs_x >= abs_y)\r
1228     {\r
1229         if (y_width > 0)\r
1230             dir_semi_major = 1;\r
1231         else\r
1232             dir_semi_major = 0;\r
1233 \r
1234         if (x_width > 0)        \r
1235             dir_major = 1;        \r
1236         else\r
1237             dir_major = 0;\r
1238 \r
1239         if((abs_x == 0)||(abs_y == 0))        \r
1240             delta = 0;        \r
1241         else        \r
1242             delta = (abs_y<<12)/abs_x;\r
1243 \r
1244         if (delta >> 12)\r
1245             delta -= 1;\r
1246                         \r
1247         major_width = abs_x;        \r
1248         line_dir = 0;\r
1249     }\r
1250     else\r
1251     {\r
1252         if (x_width > 0)\r
1253             dir_semi_major = 1;\r
1254         else\r
1255             dir_semi_major = 0;\r
1256 \r
1257         if (y_width > 0)\r
1258             dir_major = 1;\r
1259         else\r
1260             dir_major = 0;\r
1261         \r
1262         delta = (abs_x<<12)/abs_y;        \r
1263         major_width = abs_y;\r
1264         line_dir = 1;\r
1265     }\r
1266  \r
1267     reg = (reg & (~m_RGA_LINE_DRAW_MAJOR_WIDTH))     | (s_RGA_LINE_DRAW_MAJOR_WIDTH(major_width));\r
1268     reg = (reg & (~m_RGA_LINE_DRAW_LINE_DIRECTION))  | (s_RGA_LINE_DRAW_LINE_DIRECTION(line_dir));\r
1269     reg = (reg & (~m_RGA_LINE_DRAW_LINE_WIDTH))      | (s_RGA_LINE_DRAW_LINE_WIDTH(msg->line_draw_info.line_width - 1));\r
1270     reg = (reg & (~m_RGA_LINE_DRAW_INCR_VALUE))      | (s_RGA_LINE_DRAW_INCR_VALUE(delta));    \r
1271     reg = (reg & (~m_RGA_LINE_DRAW_DIR_SEMI_MAJOR))  | (s_RGA_LINE_DRAW_DIR_SEMI_MAJOR(dir_semi_major));\r
1272     reg = (reg & (~m_RGA_LINE_DRAW_DIR_MAJOR))       | (s_RGA_LINE_DRAW_DIR_MAJOR(dir_major));\r
1273     reg = (reg & (~m_RGA_LINE_DRAW_LAST_POINT))      | (s_RGA_LINE_DRAW_LAST_POINT(msg->line_draw_info.flag >> 1));\r
1274     reg = (reg & (~m_RGA_LINE_DRAW_ANTI_ALISING))    | (s_RGA_LINE_DRAW_ANTI_ALISING(msg->line_draw_info.flag));    \r
1275 \r
1276     *bRGA_LINE_DRAW = reg;\r
1277     \r
1278     reg = (msg->line_draw_info.start_point.x & 0xfff) | ((msg->line_draw_info.start_point.y & 0xfff) << 16);\r
1279     *bRGA_LINE_DRAW_XY_INFO = reg;\r
1280     \r
1281     *bRGA_LINE_DRAW_WIDTH = msg->dst.vir_w;\r
1282 \r
1283     *bRGA_LINE_DRAWING_COLOR = msg->line_draw_info.color;\r
1284 \r
1285     *bRGA_LINE_DRAWING_MST = (u32)start_addr;\r
1286            \r
1287     return 0;\r
1288 }\r
1289 \r
1290 \r
1291 /*full*/\r
1292 s32\r
1293 RGA_set_filter_reg_info(u8 *base, const struct rga_req *msg)\r
1294 {    \r
1295     u32 *bRGA_BLUR_SHARP_INFO;\r
1296     u32  reg = 0;\r
1297     \r
1298     bRGA_BLUR_SHARP_INFO = (u32 *)(base + RGA_ALPHA_CON_OFFSET);\r
1299 \r
1300     reg = *bRGA_BLUR_SHARP_INFO;\r
1301 \r
1302     reg = ((reg & (~m_RGA_BLUR_SHARP_FILTER_TYPE)) | (s_RGA_BLUR_SHARP_FILTER_TYPE(msg->bsfilter_flag & 3)));\r
1303     reg = ((reg & (~m_RGA_BLUR_SHARP_FILTER_MODE)) | (s_RGA_BLUR_SHARP_FILTER_MODE(msg->bsfilter_flag >>2)));\r
1304 \r
1305     *bRGA_BLUR_SHARP_INFO = reg;\r
1306        \r
1307     return 0; \r
1308 }\r
1309 \r
1310 \r
1311 /*full*/\r
1312 s32\r
1313 RGA_set_pre_scale_reg_info(u8 *base, const struct rga_req *msg)\r
1314 {\r
1315    u32 *bRGA_PRE_SCALE_INFO; \r
1316    u32 reg = 0;\r
1317    u32 h_ratio = 0;\r
1318    u32 v_ratio = 0;\r
1319    u32 ps_yuv_flag = 0;\r
1320    u32 src_width, src_height;\r
1321    u32 dst_width, dst_height;\r
1322 \r
1323    src_width = msg->src.act_w;\r
1324    src_height = msg->src.act_h;\r
1325 \r
1326    dst_width = msg->dst.act_w;\r
1327    dst_height = msg->dst.act_h;\r
1328 \r
1329    if((dst_width == 0) || (dst_height == 0))\r
1330    {\r
1331         printk("pre scale reg info error ratio is divide zero\n");\r
1332         return -EINVAL;    \r
1333    }\r
1334 \r
1335    h_ratio = (src_width <<16) / dst_width;\r
1336    v_ratio = (src_height<<16) / dst_height;\r
1337 \r
1338    if (h_ratio <= (1<<16))    \r
1339        h_ratio = 0;\r
1340    else if (h_ratio <= (2<<16))\r
1341        h_ratio = 1;\r
1342    else if (h_ratio <= (4<<16))\r
1343        h_ratio = 2;\r
1344    else if (h_ratio <= (8<<16))\r
1345        h_ratio = 3;\r
1346 \r
1347    if (v_ratio <= (1<<16))    \r
1348        v_ratio = 0;\r
1349    else if (v_ratio <= (2<<16))\r
1350        v_ratio = 1;\r
1351    else if (v_ratio <= (4<<16))\r
1352        v_ratio = 2;\r
1353    else if (v_ratio <= (8<<16))\r
1354        v_ratio = 3;\r
1355 \r
1356    if(msg->src.format == msg->dst.format)\r
1357         ps_yuv_flag = 0;    \r
1358     else    \r
1359         ps_yuv_flag = 1;   \r
1360 \r
1361    bRGA_PRE_SCALE_INFO = (u32 *)(base + RGA_ALPHA_CON_OFFSET);\r
1362    \r
1363    reg = *bRGA_PRE_SCALE_INFO;\r
1364    reg = ((reg & (~m_RGA_PRE_SCALE_HOR_RATIO)) | (s_RGA_PRE_SCALE_HOR_RATIO((u8)h_ratio)));\r
1365    reg = ((reg & (~m_RGA_PRE_SCALE_VER_RATIO)) | (s_RGA_PRE_SCALE_VER_RATIO((u8)v_ratio)));\r
1366    reg = ((reg & (~m_RGA_PRE_SCALE_OUTPUT_FORMAT)) | (s_RGA_PRE_SCALE_OUTPUT_FORMAT(ps_yuv_flag)));\r
1367 \r
1368    *bRGA_PRE_SCALE_INFO = reg;\r
1369        \r
1370    return 0; \r
1371 }\r
1372 \r
1373 \r
1374 \r
1375 /*full*/\r
1376 int \r
1377 RGA_set_update_palette_table_reg_info(u8 *base, const struct rga_req *msg)\r
1378 {\r
1379     u32 *bRGA_LUT_MST;\r
1380 \r
1381     if (!msg->LUT_addr) {        \r
1382         return -1;\r
1383     }        \r
1384 \r
1385     bRGA_LUT_MST  = (u32 *)(base + RGA_LUT_MST_OFFSET);\r
1386     \r
1387     *bRGA_LUT_MST = (u32)msg->LUT_addr;    \r
1388 \r
1389     return 0;\r
1390 }\r
1391 \r
1392 \r
1393 \r
1394 /*full*/\r
1395 int\r
1396 RGA_set_update_patten_buff_reg_info(u8 *base, const struct rga_req *msg)\r
1397 {\r
1398     u32 *bRGA_PAT_MST;\r
1399     u32 *bRGA_PAT_CON;\r
1400     u32 *bRGA_PAT_START_POINT;\r
1401     u32 reg = 0;\r
1402     rga_img_info_t *pat;\r
1403 \r
1404     pat = (rga_img_info_t *)&msg->pat;\r
1405 \r
1406     bRGA_PAT_START_POINT = (u32 *)(base + RGA_PAT_START_POINT_OFFSET);\r
1407     bRGA_PAT_MST = (u32 *)(base + RGA_PAT_MST_OFFSET);\r
1408     bRGA_PAT_CON = (u32 *)(base + RGA_PAT_CON_OFFSET);\r
1409 \r
1410     if ( !pat->yrgb_addr ) {\r
1411         return -1;\r
1412     }    \r
1413     *bRGA_PAT_MST = (u32)pat->yrgb_addr;\r
1414 \r
1415     if ((pat->vir_w > 256)||(pat->x_offset > 256)||(pat->y_offset > 256)) {\r
1416         return -1;\r
1417     }\r
1418     *bRGA_PAT_START_POINT = (pat->vir_w * pat->y_offset) + pat->x_offset;\r
1419 \r
1420     reg = (pat->vir_w-1) | ((pat->vir_h-1) << 8) | (pat->x_offset << 16) | (pat->y_offset << 24);\r
1421     *bRGA_PAT_CON = reg;    \r
1422     \r
1423     return 0;\r
1424 }\r
1425 \r
1426 \r
1427 /*************************************************************    \r
1428 Func:        \r
1429     RGA_set_mmu_ctrl_reg_info    \r
1430 Description:        \r
1431     fill mmu relate some reg info    \r
1432 Author:        \r
1433     ZhangShengqin    \r
1434 Date:        \r
1435     20012-2-2 10:59:25 \r
1436 **************************************************************/\r
1437 \r
1438 s32\r
1439 RGA_set_mmu_ctrl_reg_info(u8 *base, const struct rga_req *msg)\r
1440 {\r
1441     u32 *RGA_MMU_TLB, *RGA_MMU_CTRL_ADDR;\r
1442     u32  mmu_addr;\r
1443     u8   TLB_size, mmu_enable, src_flag, dst_flag, CMD_flag;\r
1444     u32  reg = 0;\r
1445 \r
1446     mmu_addr = (u32)msg->mmu_info.base_addr;\r
1447     TLB_size = (msg->mmu_info.mmu_flag >> 4) & 0x3;\r
1448     mmu_enable = msg->mmu_info.mmu_flag & 0x1;\r
1449     \r
1450     src_flag = (msg->mmu_info.mmu_flag >> 1) & 0x1;\r
1451     dst_flag = (msg->mmu_info.mmu_flag >> 2) & 0x1;\r
1452     CMD_flag = (msg->mmu_info.mmu_flag >> 3) & 0x1;\r
1453 \r
1454     RGA_MMU_TLB = (u32 *)(base + RGA_MMU_TLB_OFFSET);\r
1455     RGA_MMU_CTRL_ADDR = (u32 *)(base + RGA_FADING_CON_OFFSET);\r
1456 \r
1457     reg = ((reg & (~m_RGA_MMU_CTRL_TLB_ADDR)) | s_RGA_MMU_CTRL_TLB_ADDR(mmu_addr));\r
1458     *RGA_MMU_TLB = reg;\r
1459 \r
1460     reg = *RGA_MMU_CTRL_ADDR;    \r
1461     reg = ((reg & (~m_RGA_MMU_CTRL_PAGE_TABLE_SIZE)) | s_RGA_MMU_CTRL_PAGE_TABLE_SIZE(TLB_size));\r
1462     reg = ((reg & (~m_RGA_MMU_CTRL_MMU_ENABLE)) | s_RGA_MMU_CTRL_MMU_ENABLE(mmu_enable));\r
1463     reg = ((reg & (~m_RGA_MMU_CTRL_SRC_FLUSH)) | s_RGA_MMU_CTRL_SRC_FLUSH(1));\r
1464     reg = ((reg & (~m_RGA_MMU_CTRL_DST_FLUSH)) | s_RGA_MMU_CTRL_DST_FLUSH(1));\r
1465     reg = ((reg & (~m_RGA_MMU_CTRL_CMD_CHAN_FLUSH)) | s_RGA_MMU_CTRL_CMD_CHAN_FLUSH(1));\r
1466     *RGA_MMU_CTRL_ADDR = reg;\r
1467 \r
1468     return 0;\r
1469 }\r
1470 \r
1471 \r
1472 \r
1473 /*************************************************************    \r
1474 Func:        \r
1475     RGA_gen_reg_info    \r
1476 Description:        \r
1477     Generate RGA command reg list from rga_req struct.    \r
1478 Author:        \r
1479     ZhangShengqin    \r
1480 Date:        \r
1481     20012-2-2 10:59:25 \r
1482 **************************************************************/\r
1483 int\r
1484 RGA_gen_reg_info(const struct rga_req *msg, unsigned char *base)\r
1485 {\r
1486     TILE_INFO tile;\r
1487 \r
1488     memset(base, 0x0, 28*4);    \r
1489     RGA_set_mode_ctrl(base, msg);\r
1490     \r
1491     switch(msg->render_mode)\r
1492     {\r
1493         case bitblt_mode :\r
1494             RGA_set_alpha_rop(base, msg);\r
1495             RGA_set_src(base, msg);\r
1496             RGA_set_dst(base, msg);    \r
1497             RGA_set_color(base, msg);\r
1498             RGA_set_fading(base, msg);\r
1499             RGA_set_pat(base, msg);            \r
1500             matrix_cal(msg, &tile);\r
1501             dst_ctrl_cal(msg, &tile);\r
1502             src_tile_info_cal(msg, &tile);\r
1503             RGA_set_bitblt_reg_info(base, msg, &tile); \r
1504             break;\r
1505         case color_palette_mode :\r
1506             RGA_set_src(base, msg);\r
1507             RGA_set_dst(base, msg);    \r
1508             RGA_set_color(base, msg);\r
1509             RGA_set_color_palette_reg_info(base, msg);\r
1510             break;\r
1511         case color_fill_mode :\r
1512             RGA_set_alpha_rop(base, msg);\r
1513             RGA_set_dst(base, msg);    \r
1514             RGA_set_color(base, msg);\r
1515             RGA_set_pat(base, msg);\r
1516             RGA_set_color_fill_reg_info(base, msg);\r
1517             break;\r
1518         case line_point_drawing_mode :\r
1519             RGA_set_alpha_rop(base, msg);\r
1520             RGA_set_dst(base, msg);\r
1521             RGA_set_color(base, msg);\r
1522             RGA_set_line_drawing_reg_info(base, msg);\r
1523             break;\r
1524         case blur_sharp_filter_mode :\r
1525             RGA_set_src(base, msg);\r
1526             RGA_set_dst(base, msg);\r
1527             RGA_set_filter_reg_info(base, msg);\r
1528             break;\r
1529         case pre_scaling_mode :\r
1530             RGA_set_src(base, msg);\r
1531             RGA_set_dst(base, msg); \r
1532             if(RGA_set_pre_scale_reg_info(base, msg) == -EINVAL)\r
1533                 return -1;\r
1534             break;\r
1535         case update_palette_table_mode :\r
1536             if (RGA_set_update_palette_table_reg_info(base, msg)) {\r
1537                 return -1;\r
1538             }\r
1539                         break;\r
1540         case update_patten_buff_mode:\r
1541             if (RGA_set_update_patten_buff_reg_info(base, msg)){                \r
1542                 return -1;\r
1543             }\r
1544                 \r
1545             break;\r
1546     }\r
1547 \r
1548     RGA_set_mmu_ctrl_reg_info(base, msg);\r
1549 \r
1550     return 0;\r
1551 }\r
1552 \r
1553 \r
1554 \r