Don't include the destination interval in the union when computing
[oota-llvm.git] / lib / CodeGen / SplitKit.cpp
1 //===---------- SplitKit.cpp - Toolkit for splitting live ranges ----------===//
2 //
3 //                     The LLVM Compiler Infrastructure
4 //
5 // This file is distributed under the University of Illinois Open Source
6 // License. See LICENSE.TXT for details.
7 //
8 //===----------------------------------------------------------------------===//
9 //
10 // This file contains the SplitAnalysis class as well as mutator functions for
11 // live range splitting.
12 //
13 //===----------------------------------------------------------------------===//
14
15 #define DEBUG_TYPE "splitter"
16 #include "SplitKit.h"
17 #include "LiveRangeEdit.h"
18 #include "VirtRegMap.h"
19 #include "llvm/CodeGen/CalcSpillWeights.h"
20 #include "llvm/CodeGen/LiveIntervalAnalysis.h"
21 #include "llvm/CodeGen/MachineInstrBuilder.h"
22 #include "llvm/CodeGen/MachineLoopInfo.h"
23 #include "llvm/CodeGen/MachineRegisterInfo.h"
24 #include "llvm/Support/CommandLine.h"
25 #include "llvm/Support/Debug.h"
26 #include "llvm/Support/raw_ostream.h"
27 #include "llvm/Target/TargetInstrInfo.h"
28 #include "llvm/Target/TargetMachine.h"
29
30 using namespace llvm;
31
32 static cl::opt<bool>
33 AllowSplit("spiller-splits-edges",
34            cl::desc("Allow critical edge splitting during spilling"));
35
36 //===----------------------------------------------------------------------===//
37 //                                 Split Analysis
38 //===----------------------------------------------------------------------===//
39
40 SplitAnalysis::SplitAnalysis(const MachineFunction &mf,
41                              const LiveIntervals &lis,
42                              const MachineLoopInfo &mli)
43   : mf_(mf),
44     lis_(lis),
45     loops_(mli),
46     tii_(*mf.getTarget().getInstrInfo()),
47     curli_(0) {}
48
49 void SplitAnalysis::clear() {
50   usingInstrs_.clear();
51   usingBlocks_.clear();
52   usingLoops_.clear();
53   curli_ = 0;
54 }
55
56 bool SplitAnalysis::canAnalyzeBranch(const MachineBasicBlock *MBB) {
57   MachineBasicBlock *T, *F;
58   SmallVector<MachineOperand, 4> Cond;
59   return !tii_.AnalyzeBranch(const_cast<MachineBasicBlock&>(*MBB), T, F, Cond);
60 }
61
62 /// analyzeUses - Count instructions, basic blocks, and loops using curli.
63 void SplitAnalysis::analyzeUses() {
64   const MachineRegisterInfo &MRI = mf_.getRegInfo();
65   for (MachineRegisterInfo::reg_iterator I = MRI.reg_begin(curli_->reg);
66        MachineInstr *MI = I.skipInstruction();) {
67     if (MI->isDebugValue() || !usingInstrs_.insert(MI))
68       continue;
69     MachineBasicBlock *MBB = MI->getParent();
70     if (usingBlocks_[MBB]++)
71       continue;
72     for (MachineLoop *Loop = loops_.getLoopFor(MBB); Loop;
73          Loop = Loop->getParentLoop())
74       usingLoops_[Loop]++;
75   }
76   DEBUG(dbgs() << "  counted "
77                << usingInstrs_.size() << " instrs, "
78                << usingBlocks_.size() << " blocks, "
79                << usingLoops_.size()  << " loops.\n");
80 }
81
82 // Get three sets of basic blocks surrounding a loop: Blocks inside the loop,
83 // predecessor blocks, and exit blocks.
84 void SplitAnalysis::getLoopBlocks(const MachineLoop *Loop, LoopBlocks &Blocks) {
85   Blocks.clear();
86
87   // Blocks in the loop.
88   Blocks.Loop.insert(Loop->block_begin(), Loop->block_end());
89
90   // Predecessor blocks.
91   const MachineBasicBlock *Header = Loop->getHeader();
92   for (MachineBasicBlock::const_pred_iterator I = Header->pred_begin(),
93        E = Header->pred_end(); I != E; ++I)
94     if (!Blocks.Loop.count(*I))
95       Blocks.Preds.insert(*I);
96
97   // Exit blocks.
98   for (MachineLoop::block_iterator I = Loop->block_begin(),
99        E = Loop->block_end(); I != E; ++I) {
100     const MachineBasicBlock *MBB = *I;
101     for (MachineBasicBlock::const_succ_iterator SI = MBB->succ_begin(),
102        SE = MBB->succ_end(); SI != SE; ++SI)
103       if (!Blocks.Loop.count(*SI))
104         Blocks.Exits.insert(*SI);
105   }
106 }
107
108 /// analyzeLoopPeripheralUse - Return an enum describing how curli_ is used in
109 /// and around the Loop.
110 SplitAnalysis::LoopPeripheralUse SplitAnalysis::
111 analyzeLoopPeripheralUse(const SplitAnalysis::LoopBlocks &Blocks) {
112   LoopPeripheralUse use = ContainedInLoop;
113   for (BlockCountMap::iterator I = usingBlocks_.begin(), E = usingBlocks_.end();
114        I != E; ++I) {
115     const MachineBasicBlock *MBB = I->first;
116     // Is this a peripheral block?
117     if (use < MultiPeripheral &&
118         (Blocks.Preds.count(MBB) || Blocks.Exits.count(MBB))) {
119       if (I->second > 1) use = MultiPeripheral;
120       else               use = SinglePeripheral;
121       continue;
122     }
123     // Is it a loop block?
124     if (Blocks.Loop.count(MBB))
125       continue;
126     // It must be an unrelated block.
127     return OutsideLoop;
128   }
129   return use;
130 }
131
132 /// getCriticalExits - It may be necessary to partially break critical edges
133 /// leaving the loop if an exit block has phi uses of curli. Collect the exit
134 /// blocks that need special treatment into CriticalExits.
135 void SplitAnalysis::getCriticalExits(const SplitAnalysis::LoopBlocks &Blocks,
136                                      BlockPtrSet &CriticalExits) {
137   CriticalExits.clear();
138
139   // A critical exit block contains a phi def of curli, and has a predecessor
140   // that is not in the loop nor a loop predecessor.
141   // For such an exit block, the edges carrying the new variable must be moved
142   // to a new pre-exit block.
143   for (BlockPtrSet::iterator I = Blocks.Exits.begin(), E = Blocks.Exits.end();
144        I != E; ++I) {
145     const MachineBasicBlock *Succ = *I;
146     SlotIndex SuccIdx = lis_.getMBBStartIdx(Succ);
147     VNInfo *SuccVNI = curli_->getVNInfoAt(SuccIdx);
148     // This exit may not have curli live in at all. No need to split.
149     if (!SuccVNI)
150       continue;
151     // If this is not a PHI def, it is either using a value from before the
152     // loop, or a value defined inside the loop. Both are safe.
153     if (!SuccVNI->isPHIDef() || SuccVNI->def.getBaseIndex() != SuccIdx)
154       continue;
155     // This exit block does have a PHI. Does it also have a predecessor that is
156     // not a loop block or loop predecessor?
157     for (MachineBasicBlock::const_pred_iterator PI = Succ->pred_begin(),
158          PE = Succ->pred_end(); PI != PE; ++PI) {
159       const MachineBasicBlock *Pred = *PI;
160       if (Blocks.Loop.count(Pred) || Blocks.Preds.count(Pred))
161         continue;
162       // This is a critical exit block, and we need to split the exit edge.
163       CriticalExits.insert(Succ);
164       break;
165     }
166   }
167 }
168
169 /// canSplitCriticalExits - Return true if it is possible to insert new exit
170 /// blocks before the blocks in CriticalExits.
171 bool
172 SplitAnalysis::canSplitCriticalExits(const SplitAnalysis::LoopBlocks &Blocks,
173                                      BlockPtrSet &CriticalExits) {
174   // If we don't allow critical edge splitting, require no critical exits.
175   if (!AllowSplit)
176     return CriticalExits.empty();
177
178   for (BlockPtrSet::iterator I = CriticalExits.begin(), E = CriticalExits.end();
179        I != E; ++I) {
180     const MachineBasicBlock *Succ = *I;
181     // We want to insert a new pre-exit MBB before Succ, and change all the
182     // in-loop blocks to branch to the pre-exit instead of Succ.
183     // Check that all the in-loop predecessors can be changed.
184     for (MachineBasicBlock::const_pred_iterator PI = Succ->pred_begin(),
185          PE = Succ->pred_end(); PI != PE; ++PI) {
186       const MachineBasicBlock *Pred = *PI;
187       // The external predecessors won't be altered.
188       if (!Blocks.Loop.count(Pred) && !Blocks.Preds.count(Pred))
189         continue;
190       if (!canAnalyzeBranch(Pred))
191         return false;
192     }
193
194     // If Succ's layout predecessor falls through, that too must be analyzable.
195     // We need to insert the pre-exit block in the gap.
196     MachineFunction::const_iterator MFI = Succ;
197     if (MFI == mf_.begin())
198       continue;
199     if (!canAnalyzeBranch(--MFI))
200       return false;
201   }
202   // No problems found.
203   return true;
204 }
205
206 void SplitAnalysis::analyze(const LiveInterval *li) {
207   clear();
208   curli_ = li;
209   analyzeUses();
210 }
211
212 const MachineLoop *SplitAnalysis::getBestSplitLoop() {
213   assert(curli_ && "Call analyze() before getBestSplitLoop");
214   if (usingLoops_.empty())
215     return 0;
216
217   LoopPtrSet Loops;
218   LoopBlocks Blocks;
219   BlockPtrSet CriticalExits;
220
221   // We split around loops where curli is used outside the periphery.
222   for (LoopCountMap::const_iterator I = usingLoops_.begin(),
223        E = usingLoops_.end(); I != E; ++I) {
224     const MachineLoop *Loop = I->first;
225     getLoopBlocks(Loop, Blocks);
226
227     switch(analyzeLoopPeripheralUse(Blocks)) {
228     case OutsideLoop:
229       break;
230     case MultiPeripheral:
231       // FIXME: We could split a live range with multiple uses in a peripheral
232       // block and still make progress. However, it is possible that splitting
233       // another live range will insert copies into a peripheral block, and
234       // there is a small chance we can enter an infinity loop, inserting copies
235       // forever.
236       // For safety, stick to splitting live ranges with uses outside the
237       // periphery.
238       DEBUG(dbgs() << "  multiple peripheral uses in " << *Loop);
239       break;
240     case ContainedInLoop:
241       DEBUG(dbgs() << "  contained in " << *Loop);
242       continue;
243     case SinglePeripheral:
244       DEBUG(dbgs() << "  single peripheral use in " << *Loop);
245       continue;
246     }
247     // Will it be possible to split around this loop?
248     getCriticalExits(Blocks, CriticalExits);
249     DEBUG(dbgs() << "  " << CriticalExits.size() << " critical exits from "
250                  << *Loop);
251     if (!canSplitCriticalExits(Blocks, CriticalExits))
252       continue;
253     // This is a possible split.
254     Loops.insert(Loop);
255   }
256
257   DEBUG(dbgs() << "  getBestSplitLoop found " << Loops.size()
258                << " candidate loops.\n");
259
260   if (Loops.empty())
261     return 0;
262
263   // Pick the earliest loop.
264   // FIXME: Are there other heuristics to consider?
265   const MachineLoop *Best = 0;
266   SlotIndex BestIdx;
267   for (LoopPtrSet::const_iterator I = Loops.begin(), E = Loops.end(); I != E;
268        ++I) {
269     SlotIndex Idx = lis_.getMBBStartIdx((*I)->getHeader());
270     if (!Best || Idx < BestIdx)
271       Best = *I, BestIdx = Idx;
272   }
273   DEBUG(dbgs() << "  getBestSplitLoop found " << *Best);
274   return Best;
275 }
276
277 /// getMultiUseBlocks - if curli has more than one use in a basic block, it
278 /// may be an advantage to split curli for the duration of the block.
279 bool SplitAnalysis::getMultiUseBlocks(BlockPtrSet &Blocks) {
280   // If curli is local to one block, there is no point to splitting it.
281   if (usingBlocks_.size() <= 1)
282     return false;
283   // Add blocks with multiple uses.
284   for (BlockCountMap::iterator I = usingBlocks_.begin(), E = usingBlocks_.end();
285        I != E; ++I)
286     switch (I->second) {
287     case 0:
288     case 1:
289       continue;
290     case 2: {
291       // It doesn't pay to split a 2-instr block if it redefines curli.
292       VNInfo *VN1 = curli_->getVNInfoAt(lis_.getMBBStartIdx(I->first));
293       VNInfo *VN2 =
294         curli_->getVNInfoAt(lis_.getMBBEndIdx(I->first).getPrevIndex());
295       // live-in and live-out with a different value.
296       if (VN1 && VN2 && VN1 != VN2)
297         continue;
298     } // Fall through.
299     default:
300       Blocks.insert(I->first);
301     }
302   return !Blocks.empty();
303 }
304
305 //===----------------------------------------------------------------------===//
306 //                               LiveIntervalMap
307 //===----------------------------------------------------------------------===//
308
309 // Work around the fact that the std::pair constructors are broken for pointer
310 // pairs in some implementations. makeVV(x, 0) works.
311 static inline std::pair<const VNInfo*, VNInfo*>
312 makeVV(const VNInfo *a, VNInfo *b) {
313   return std::make_pair(a, b);
314 }
315
316 void LiveIntervalMap::reset(LiveInterval *li) {
317   li_ = li;
318   valueMap_.clear();
319 }
320
321 bool LiveIntervalMap::isComplexMapped(const VNInfo *ParentVNI) const {
322   ValueMap::const_iterator i = valueMap_.find(ParentVNI);
323   return i != valueMap_.end() && i->second == 0;
324 }
325
326 // defValue - Introduce a li_ def for ParentVNI that could be later than
327 // ParentVNI->def.
328 VNInfo *LiveIntervalMap::defValue(const VNInfo *ParentVNI, SlotIndex Idx) {
329   assert(li_ && "call reset first");
330   assert(ParentVNI && "Mapping  NULL value");
331   assert(Idx.isValid() && "Invalid SlotIndex");
332   assert(parentli_.getVNInfoAt(Idx) == ParentVNI && "Bad ParentVNI");
333
334   // Create a new value.
335   VNInfo *VNI = li_->getNextValue(Idx, 0, lis_.getVNInfoAllocator());
336
337   // Use insert for lookup, so we can add missing values with a second lookup.
338   std::pair<ValueMap::iterator,bool> InsP =
339     valueMap_.insert(makeVV(ParentVNI, Idx == ParentVNI->def ? VNI : 0));
340
341   // This is now a complex def. Mark with a NULL in valueMap.
342   if (!InsP.second)
343     InsP.first->second = 0;
344
345   return VNI;
346 }
347
348
349 // mapValue - Find the mapped value for ParentVNI at Idx.
350 // Potentially create phi-def values.
351 VNInfo *LiveIntervalMap::mapValue(const VNInfo *ParentVNI, SlotIndex Idx,
352                                   bool *simple) {
353   assert(li_ && "call reset first");
354   assert(ParentVNI && "Mapping  NULL value");
355   assert(Idx.isValid() && "Invalid SlotIndex");
356   assert(parentli_.getVNInfoAt(Idx) == ParentVNI && "Bad ParentVNI");
357
358   // Use insert for lookup, so we can add missing values with a second lookup.
359   std::pair<ValueMap::iterator,bool> InsP =
360     valueMap_.insert(makeVV(ParentVNI, 0));
361
362   // This was an unknown value. Create a simple mapping.
363   if (InsP.second) {
364     if (simple) *simple = true;
365     return InsP.first->second = li_->createValueCopy(ParentVNI,
366                                                      lis_.getVNInfoAllocator());
367   }
368
369   // This was a simple mapped value.
370   if (InsP.first->second) {
371     if (simple) *simple = true;
372     return InsP.first->second;
373   }
374
375   // This is a complex mapped value. There may be multiple defs, and we may need
376   // to create phi-defs.
377   if (simple) *simple = false;
378   MachineBasicBlock *IdxMBB = lis_.getMBBFromIndex(Idx);
379   assert(IdxMBB && "No MBB at Idx");
380
381   // Is there a def in the same MBB we can extend?
382   if (VNInfo *VNI = extendTo(IdxMBB, Idx))
383     return VNI;
384
385   // Now for the fun part. We know that ParentVNI potentially has multiple defs,
386   // and we may need to create even more phi-defs to preserve VNInfo SSA form.
387   // Perform a depth-first search for predecessor blocks where we know the
388   // dominating VNInfo. Insert phi-def VNInfos along the path back to IdxMBB.
389
390   // Track MBBs where we have created or learned the dominating value.
391   // This may change during the DFS as we create new phi-defs.
392   typedef DenseMap<MachineBasicBlock*, VNInfo*> MBBValueMap;
393   MBBValueMap DomValue;
394   typedef SplitAnalysis::BlockPtrSet BlockPtrSet;
395   BlockPtrSet Visited;
396
397   // Iterate over IdxMBB predecessors in a depth-first order.
398   // Skip begin() since that is always IdxMBB.
399   for (idf_ext_iterator<MachineBasicBlock*, BlockPtrSet>
400          IDFI = llvm::next(idf_ext_begin(IdxMBB, Visited)),
401          IDFE = idf_ext_end(IdxMBB, Visited); IDFI != IDFE;) {
402     MachineBasicBlock *MBB = *IDFI;
403     SlotIndex End = lis_.getMBBEndIdx(MBB).getPrevSlot();
404
405     // We are operating on the restricted CFG where ParentVNI is live.
406     if (parentli_.getVNInfoAt(End) != ParentVNI) {
407       IDFI.skipChildren();
408       continue;
409     }
410
411     // Do we have a dominating value in this block?
412     VNInfo *VNI = extendTo(MBB, End);
413     if (!VNI) {
414       ++IDFI;
415       continue;
416     }
417
418     // Yes, VNI dominates MBB. Make sure we visit MBB again from other paths.
419     Visited.erase(MBB);
420
421     // Track the path back to IdxMBB, creating phi-defs
422     // as needed along the way.
423     for (unsigned PI = IDFI.getPathLength()-1; PI != 0; --PI) {
424       // Start from MBB's immediate successor. End at IdxMBB.
425       MachineBasicBlock *Succ = IDFI.getPath(PI-1);
426       std::pair<MBBValueMap::iterator, bool> InsP =
427         DomValue.insert(MBBValueMap::value_type(Succ, VNI));
428
429       // This is the first time we backtrack to Succ.
430       if (InsP.second)
431         continue;
432
433       // We reached Succ again with the same VNI. Nothing is going to change.
434       VNInfo *OVNI = InsP.first->second;
435       if (OVNI == VNI)
436         break;
437
438       // Succ already has a phi-def. No need to continue.
439       SlotIndex Start = lis_.getMBBStartIdx(Succ);
440       if (OVNI->def == Start)
441         break;
442
443       // We have a collision between the old and new VNI at Succ. That means
444       // neither dominates and we need a new phi-def.
445       VNI = li_->getNextValue(Start, 0, lis_.getVNInfoAllocator());
446       VNI->setIsPHIDef(true);
447       InsP.first->second = VNI;
448
449       // Replace OVNI with VNI in the remaining path.
450       for (; PI > 1 ; --PI) {
451         MBBValueMap::iterator I = DomValue.find(IDFI.getPath(PI-2));
452         if (I == DomValue.end() || I->second != OVNI)
453           break;
454         I->second = VNI;
455       }
456     }
457
458     // No need to search the children, we found a dominating value.
459     IDFI.skipChildren();
460   }
461
462   // The search should at least find a dominating value for IdxMBB.
463   assert(!DomValue.empty() && "Couldn't find a reaching definition");
464
465   // Since we went through the trouble of a full DFS visiting all reaching defs,
466   // the values in DomValue are now accurate. No more phi-defs are needed for
467   // these blocks, so we can color the live ranges.
468   // This makes the next mapValue call much faster.
469   VNInfo *IdxVNI = 0;
470   for (MBBValueMap::iterator I = DomValue.begin(), E = DomValue.end(); I != E;
471        ++I) {
472      MachineBasicBlock *MBB = I->first;
473      VNInfo *VNI = I->second;
474      SlotIndex Start = lis_.getMBBStartIdx(MBB);
475      if (MBB == IdxMBB) {
476        // Don't add full liveness to IdxMBB, stop at Idx.
477        if (Start != Idx)
478          li_->addRange(LiveRange(Start, Idx.getNextSlot(), VNI));
479        // The caller had better add some liveness to IdxVNI, or it leaks.
480        IdxVNI = VNI;
481      } else
482       li_->addRange(LiveRange(Start, lis_.getMBBEndIdx(MBB), VNI));
483   }
484
485   assert(IdxVNI && "Didn't find value for Idx");
486   return IdxVNI;
487 }
488
489 // extendTo - Find the last li_ value defined in MBB at or before Idx. The
490 // parentli_ is assumed to be live at Idx. Extend the live range to Idx.
491 // Return the found VNInfo, or NULL.
492 VNInfo *LiveIntervalMap::extendTo(MachineBasicBlock *MBB, SlotIndex Idx) {
493   assert(li_ && "call reset first");
494   LiveInterval::iterator I = std::upper_bound(li_->begin(), li_->end(), Idx);
495   if (I == li_->begin())
496     return 0;
497   --I;
498   if (I->end <= lis_.getMBBStartIdx(MBB))
499     return 0;
500   if (I->end <= Idx)
501     I->end = Idx.getNextSlot();
502   return I->valno;
503 }
504
505 // addSimpleRange - Add a simple range from parentli_ to li_.
506 // ParentVNI must be live in the [Start;End) interval.
507 void LiveIntervalMap::addSimpleRange(SlotIndex Start, SlotIndex End,
508                                      const VNInfo *ParentVNI) {
509   assert(li_ && "call reset first");
510   bool simple;
511   VNInfo *VNI = mapValue(ParentVNI, Start, &simple);
512   // A simple mapping is easy.
513   if (simple) {
514     li_->addRange(LiveRange(Start, End, VNI));
515     return;
516   }
517
518   // ParentVNI is a complex value. We must map per MBB.
519   MachineFunction::iterator MBB = lis_.getMBBFromIndex(Start);
520   MachineFunction::iterator MBBE = lis_.getMBBFromIndex(End.getPrevSlot());
521
522   if (MBB == MBBE) {
523     li_->addRange(LiveRange(Start, End, VNI));
524     return;
525   }
526
527   // First block.
528   li_->addRange(LiveRange(Start, lis_.getMBBEndIdx(MBB), VNI));
529
530   // Run sequence of full blocks.
531   for (++MBB; MBB != MBBE; ++MBB) {
532     Start = lis_.getMBBStartIdx(MBB);
533     li_->addRange(LiveRange(Start, lis_.getMBBEndIdx(MBB),
534                             mapValue(ParentVNI, Start)));
535   }
536
537   // Final block.
538   Start = lis_.getMBBStartIdx(MBB);
539   if (Start != End)
540     li_->addRange(LiveRange(Start, End, mapValue(ParentVNI, Start)));
541 }
542
543 /// addRange - Add live ranges to li_ where [Start;End) intersects parentli_.
544 /// All needed values whose def is not inside [Start;End) must be defined
545 /// beforehand so mapValue will work.
546 void LiveIntervalMap::addRange(SlotIndex Start, SlotIndex End) {
547   assert(li_ && "call reset first");
548   LiveInterval::const_iterator B = parentli_.begin(), E = parentli_.end();
549   LiveInterval::const_iterator I = std::lower_bound(B, E, Start);
550
551   // Check if --I begins before Start and overlaps.
552   if (I != B) {
553     --I;
554     if (I->end > Start)
555       addSimpleRange(Start, std::min(End, I->end), I->valno);
556     ++I;
557   }
558
559   // The remaining ranges begin after Start.
560   for (;I != E && I->start < End; ++I)
561     addSimpleRange(I->start, std::min(End, I->end), I->valno);
562 }
563
564 VNInfo *LiveIntervalMap::defByCopyFrom(unsigned Reg,
565                                        const VNInfo *ParentVNI,
566                                        MachineBasicBlock &MBB,
567                                        MachineBasicBlock::iterator I) {
568   const TargetInstrDesc &TID = MBB.getParent()->getTarget().getInstrInfo()->
569     get(TargetOpcode::COPY);
570   MachineInstr *MI = BuildMI(MBB, I, DebugLoc(), TID, li_->reg).addReg(Reg);
571   SlotIndex DefIdx = lis_.InsertMachineInstrInMaps(MI).getDefIndex();
572   VNInfo *VNI = defValue(ParentVNI, DefIdx);
573   VNI->setCopy(MI);
574   li_->addRange(LiveRange(DefIdx, DefIdx.getNextSlot(), VNI));
575   return VNI;
576 }
577
578 //===----------------------------------------------------------------------===//
579 //                               Split Editor
580 //===----------------------------------------------------------------------===//
581
582 /// Create a new SplitEditor for editing the LiveInterval analyzed by SA.
583 SplitEditor::SplitEditor(SplitAnalysis &sa, LiveIntervals &lis, VirtRegMap &vrm,
584                          LiveRangeEdit &edit)
585   : sa_(sa), lis_(lis), vrm_(vrm),
586     mri_(vrm.getMachineFunction().getRegInfo()),
587     tii_(*vrm.getMachineFunction().getTarget().getInstrInfo()),
588     edit_(edit),
589     dupli_(lis_, edit.getParent()),
590     openli_(lis_, edit.getParent())
591 {
592 }
593
594 bool SplitEditor::intervalsLiveAt(SlotIndex Idx) const {
595   for (LiveRangeEdit::iterator I = edit_.begin(), E = edit_.end(); I != E; ++I)
596     if (*I != dupli_.getLI() && (*I)->liveAt(Idx))
597       return true;
598   return false;
599 }
600
601 /// Create a new virtual register and live interval.
602 void SplitEditor::openIntv() {
603   assert(!openli_.getLI() && "Previous LI not closed before openIntv");
604
605   if (!dupli_.getLI())
606     dupli_.reset(&edit_.create(mri_, lis_, vrm_));
607
608   openli_.reset(&edit_.create(mri_, lis_, vrm_));
609 }
610
611 /// enterIntvBefore - Enter openli before the instruction at Idx. If curli is
612 /// not live before Idx, a COPY is not inserted.
613 void SplitEditor::enterIntvBefore(SlotIndex Idx) {
614   assert(openli_.getLI() && "openIntv not called before enterIntvBefore");
615   DEBUG(dbgs() << "    enterIntvBefore " << Idx);
616   VNInfo *ParentVNI = edit_.getParent().getVNInfoAt(Idx.getUseIndex());
617   if (!ParentVNI) {
618     DEBUG(dbgs() << ": not live\n");
619     return;
620   }
621   DEBUG(dbgs() << ": valno " << ParentVNI->id);
622   truncatedValues.insert(ParentVNI);
623   MachineInstr *MI = lis_.getInstructionFromIndex(Idx);
624   assert(MI && "enterIntvBefore called with invalid index");
625   VNInfo *VNI = openli_.defByCopyFrom(edit_.getReg(), ParentVNI,
626                                       *MI->getParent(), MI);
627   openli_.getLI()->addRange(LiveRange(VNI->def, Idx.getDefIndex(), VNI));
628   DEBUG(dbgs() << ": " << *openli_.getLI() << '\n');
629 }
630
631 /// enterIntvAtEnd - Enter openli at the end of MBB.
632 void SplitEditor::enterIntvAtEnd(MachineBasicBlock &MBB) {
633   assert(openli_.getLI() && "openIntv not called before enterIntvAtEnd");
634   SlotIndex End = lis_.getMBBEndIdx(&MBB);
635   DEBUG(dbgs() << "    enterIntvAtEnd BB#" << MBB.getNumber() << ", " << End);
636   VNInfo *ParentVNI = edit_.getParent().getVNInfoAt(End.getPrevSlot());
637   if (!ParentVNI) {
638     DEBUG(dbgs() << ": not live\n");
639     return;
640   }
641   DEBUG(dbgs() << ": valno " << ParentVNI->id);
642   truncatedValues.insert(ParentVNI);
643   VNInfo *VNI = openli_.defByCopyFrom(edit_.getReg(), ParentVNI,
644                                       MBB, MBB.getFirstTerminator());
645   // Make sure openli is live out of MBB.
646   openli_.getLI()->addRange(LiveRange(VNI->def, End, VNI));
647   DEBUG(dbgs() << ": " << *openli_.getLI() << '\n');
648 }
649
650 /// useIntv - indicate that all instructions in MBB should use openli.
651 void SplitEditor::useIntv(const MachineBasicBlock &MBB) {
652   useIntv(lis_.getMBBStartIdx(&MBB), lis_.getMBBEndIdx(&MBB));
653 }
654
655 void SplitEditor::useIntv(SlotIndex Start, SlotIndex End) {
656   assert(openli_.getLI() && "openIntv not called before useIntv");
657   openli_.addRange(Start, End);
658   DEBUG(dbgs() << "    use [" << Start << ';' << End << "): "
659                << *openli_.getLI() << '\n');
660 }
661
662 /// leaveIntvAfter - Leave openli after the instruction at Idx.
663 void SplitEditor::leaveIntvAfter(SlotIndex Idx) {
664   assert(openli_.getLI() && "openIntv not called before leaveIntvAfter");
665   DEBUG(dbgs() << "    leaveIntvAfter " << Idx);
666
667   // The interval must be live beyond the instruction at Idx.
668   VNInfo *ParentVNI = edit_.getParent().getVNInfoAt(Idx.getBoundaryIndex());
669   if (!ParentVNI) {
670     DEBUG(dbgs() << ": not live\n");
671     return;
672   }
673   DEBUG(dbgs() << ": valno " << ParentVNI->id);
674
675   MachineBasicBlock::iterator MII = lis_.getInstructionFromIndex(Idx);
676   MachineBasicBlock *MBB = MII->getParent();
677   VNInfo *VNI = dupli_.defByCopyFrom(openli_.getLI()->reg, ParentVNI, *MBB,
678                                      llvm::next(MII));
679
680   // Finally we must make sure that openli is properly extended from Idx to the
681   // new copy.
682   openli_.addSimpleRange(Idx.getBoundaryIndex(), VNI->def, ParentVNI);
683   DEBUG(dbgs() << ": " << *openli_.getLI() << '\n');
684 }
685
686 /// leaveIntvAtTop - Leave the interval at the top of MBB.
687 /// Currently, only one value can leave the interval.
688 void SplitEditor::leaveIntvAtTop(MachineBasicBlock &MBB) {
689   assert(openli_.getLI() && "openIntv not called before leaveIntvAtTop");
690   SlotIndex Start = lis_.getMBBStartIdx(&MBB);
691   DEBUG(dbgs() << "    leaveIntvAtTop BB#" << MBB.getNumber() << ", " << Start);
692
693   VNInfo *ParentVNI = edit_.getParent().getVNInfoAt(Start);
694   if (!ParentVNI) {
695     DEBUG(dbgs() << ": not live\n");
696     return;
697   }
698
699   // We are going to insert a back copy, so we must have a dupli_.
700   VNInfo *VNI = dupli_.defByCopyFrom(openli_.getLI()->reg, ParentVNI,
701                                      MBB, MBB.begin());
702
703   // Finally we must make sure that openli is properly extended from Start to
704   // the new copy.
705   openli_.addSimpleRange(Start, VNI->def, ParentVNI);
706   DEBUG(dbgs() << ": " << *openli_.getLI() << '\n');
707 }
708
709 /// closeIntv - Indicate that we are done editing the currently open
710 /// LiveInterval, and ranges can be trimmed.
711 void SplitEditor::closeIntv() {
712   assert(openli_.getLI() && "openIntv not called before closeIntv");
713
714   DEBUG(dbgs() << "    closeIntv cleaning up\n");
715   DEBUG(dbgs() << "    open " << *openli_.getLI() << '\n');
716   openli_.reset(0);
717 }
718
719 /// rewrite - Rewrite all uses of reg to use the new registers.
720 void SplitEditor::rewrite(unsigned reg) {
721   for (MachineRegisterInfo::reg_iterator RI = mri_.reg_begin(reg),
722        RE = mri_.reg_end(); RI != RE;) {
723     MachineOperand &MO = RI.getOperand();
724     MachineInstr *MI = MO.getParent();
725     ++RI;
726     if (MI->isDebugValue()) {
727       DEBUG(dbgs() << "Zapping " << *MI);
728       // FIXME: We can do much better with debug values.
729       MO.setReg(0);
730       continue;
731     }
732     SlotIndex Idx = lis_.getInstructionIndex(MI);
733     Idx = MO.isUse() ? Idx.getUseIndex() : Idx.getDefIndex();
734     LiveInterval *LI = 0;
735     for (LiveRangeEdit::iterator I = edit_.begin(), E = edit_.end(); I != E;
736          ++I) {
737       LiveInterval *testli = *I;
738       if (testli->liveAt(Idx)) {
739         LI = testli;
740         break;
741       }
742     }
743     DEBUG(dbgs() << "  rewr BB#" << MI->getParent()->getNumber() << '\t'<< Idx);
744     assert(LI && "No register was live at use");
745     MO.setReg(LI->reg);
746     DEBUG(dbgs() << '\t' << *MI);
747   }
748 }
749
750 void
751 SplitEditor::addTruncSimpleRange(SlotIndex Start, SlotIndex End, VNInfo *VNI) {
752   // Build vector of iterator pairs from the intervals.
753   typedef std::pair<LiveInterval::const_iterator,
754                     LiveInterval::const_iterator> IIPair;
755   SmallVector<IIPair, 8> Iters;
756   for (LiveRangeEdit::iterator LI = edit_.begin(), LE = edit_.end(); LI != LE;
757        ++LI) {
758     if (*LI == dupli_.getLI())
759       continue;
760     LiveInterval::const_iterator I = (*LI)->find(Start);
761     LiveInterval::const_iterator E = (*LI)->end();
762     if (I != E)
763       Iters.push_back(std::make_pair(I, E));
764   }
765
766   SlotIndex sidx = Start;
767   // Break [Start;End) into segments that don't overlap any intervals.
768   for (;;) {
769     SlotIndex next = sidx, eidx = End;
770     // Find overlapping intervals.
771     for (unsigned i = 0; i != Iters.size() && sidx < eidx; ++i) {
772       LiveInterval::const_iterator I = Iters[i].first;
773       // Interval I is overlapping [sidx;eidx). Trim sidx.
774       if (I->start <= sidx) {
775         sidx = I->end;
776         // Move to the next run, remove iters when all are consumed.
777         I = ++Iters[i].first;
778         if (I == Iters[i].second) {
779           Iters.erase(Iters.begin() + i);
780           --i;
781           continue;
782         }
783       }
784       // Trim eidx too if needed.
785       if (I->start >= eidx)
786         continue;
787       eidx = I->start;
788       next = I->end;
789     }
790     // Now, [sidx;eidx) doesn't overlap anything in intervals_.
791     if (sidx < eidx)
792       dupli_.addSimpleRange(sidx, eidx, VNI);
793     // If the interval end was truncated, we can try again from next.
794     if (next <= sidx)
795       break;
796     sidx = next;
797   }
798 }
799
800 void SplitEditor::computeRemainder() {
801   // First we need to fill in the live ranges in dupli.
802   // If values were redefined, we need a full recoloring with SSA update.
803   // If values were truncated, we only need to truncate the ranges.
804   // If values were partially rematted, we should shrink to uses.
805   // If values were fully rematted, they should be omitted.
806   // FIXME: If a single value is redefined, just move the def and truncate.
807   LiveInterval &parent = edit_.getParent();
808
809   // Values that are fully contained in the split intervals.
810   SmallPtrSet<const VNInfo*, 8> deadValues;
811   // Map all curli values that should have live defs in dupli.
812   for (LiveInterval::const_vni_iterator I = parent.vni_begin(),
813        E = parent.vni_end(); I != E; ++I) {
814     const VNInfo *VNI = *I;
815     // Original def is contained in the split intervals.
816     if (intervalsLiveAt(VNI->def)) {
817       // Did this value escape?
818       if (dupli_.isMapped(VNI))
819         truncatedValues.insert(VNI);
820       else
821         deadValues.insert(VNI);
822       continue;
823     }
824     // Add minimal live range at the definition.
825     VNInfo *DVNI = dupli_.defValue(VNI, VNI->def);
826     dupli_.getLI()->addRange(LiveRange(VNI->def, VNI->def.getNextSlot(), DVNI));
827   }
828
829   // Add all ranges to dupli.
830   for (LiveInterval::const_iterator I = parent.begin(), E = parent.end();
831        I != E; ++I) {
832     const LiveRange &LR = *I;
833     if (truncatedValues.count(LR.valno)) {
834       // recolor after removing intervals_.
835       addTruncSimpleRange(LR.start, LR.end, LR.valno);
836     } else if (!deadValues.count(LR.valno)) {
837       // recolor without truncation.
838       dupli_.addSimpleRange(LR.start, LR.end, LR.valno);
839     }
840   }
841 }
842
843 void SplitEditor::finish() {
844   assert(!openli_.getLI() && "Previous LI not closed before rewrite");
845   assert(dupli_.getLI() && "No dupli for rewrite. Noop spilt?");
846
847   // Complete dupli liveness.
848   computeRemainder();
849
850   // Get rid of unused values and set phi-kill flags.
851   dupli_.getLI()->RenumberValues(lis_);
852
853   // Now check if dupli was separated into multiple connected components.
854   ConnectedVNInfoEqClasses ConEQ(lis_);
855   if (unsigned NumComp = ConEQ.Classify(dupli_.getLI())) {
856     DEBUG(dbgs() << "  Remainder has " << NumComp << " connected components: "
857                  << *dupli_.getLI() << '\n');
858     // Did the remainder break up? Create intervals for all the components.
859     if (NumComp > 1) {
860       SmallVector<LiveInterval*, 8> dups;
861       dups.push_back(dupli_.getLI());
862       for (unsigned i = 1; i != NumComp; ++i)
863         dups.push_back(&edit_.create(mri_, lis_, vrm_));
864       ConEQ.Distribute(&dups[0]);
865       // Rewrite uses to the new regs.
866       rewrite(dupli_.getLI()->reg);
867     }
868   }
869
870   // Rewrite instructions.
871   rewrite(edit_.getReg());
872
873   // Calculate spill weight and allocation hints for new intervals.
874   VirtRegAuxInfo vrai(vrm_.getMachineFunction(), lis_, sa_.loops_);
875   for (LiveRangeEdit::iterator I = edit_.begin(), E = edit_.end(); I != E; ++I){
876     LiveInterval &li = **I;
877     vrai.CalculateRegClass(li.reg);
878     vrai.CalculateWeightAndHint(li);
879     DEBUG(dbgs() << "  new interval " << mri_.getRegClass(li.reg)->getName()
880                  << ":" << li << '\n');
881   }
882 }
883
884
885 //===----------------------------------------------------------------------===//
886 //                               Loop Splitting
887 //===----------------------------------------------------------------------===//
888
889 void SplitEditor::splitAroundLoop(const MachineLoop *Loop) {
890   SplitAnalysis::LoopBlocks Blocks;
891   sa_.getLoopBlocks(Loop, Blocks);
892
893   DEBUG({
894     dbgs() << "  splitAroundLoop";
895     for (SplitAnalysis::BlockPtrSet::iterator I = Blocks.Loop.begin(),
896          E = Blocks.Loop.end(); I != E; ++I)
897       dbgs() << " BB#" << (*I)->getNumber();
898     dbgs() << ", preds:";
899     for (SplitAnalysis::BlockPtrSet::iterator I = Blocks.Preds.begin(),
900          E = Blocks.Preds.end(); I != E; ++I)
901       dbgs() << " BB#" << (*I)->getNumber();
902     dbgs() << ", exits:";
903     for (SplitAnalysis::BlockPtrSet::iterator I = Blocks.Exits.begin(),
904          E = Blocks.Exits.end(); I != E; ++I)
905       dbgs() << " BB#" << (*I)->getNumber();
906     dbgs() << '\n';
907   });
908
909   // Break critical edges as needed.
910   SplitAnalysis::BlockPtrSet CriticalExits;
911   sa_.getCriticalExits(Blocks, CriticalExits);
912   assert(CriticalExits.empty() && "Cannot break critical exits yet");
913
914   // Create new live interval for the loop.
915   openIntv();
916
917   // Insert copies in the predecessors.
918   for (SplitAnalysis::BlockPtrSet::iterator I = Blocks.Preds.begin(),
919        E = Blocks.Preds.end(); I != E; ++I) {
920     MachineBasicBlock &MBB = const_cast<MachineBasicBlock&>(**I);
921     enterIntvAtEnd(MBB);
922   }
923
924   // Switch all loop blocks.
925   for (SplitAnalysis::BlockPtrSet::iterator I = Blocks.Loop.begin(),
926        E = Blocks.Loop.end(); I != E; ++I)
927      useIntv(**I);
928
929   // Insert back copies in the exit blocks.
930   for (SplitAnalysis::BlockPtrSet::iterator I = Blocks.Exits.begin(),
931        E = Blocks.Exits.end(); I != E; ++I) {
932     MachineBasicBlock &MBB = const_cast<MachineBasicBlock&>(**I);
933     leaveIntvAtTop(MBB);
934   }
935
936   // Done.
937   closeIntv();
938   finish();
939 }
940
941
942 //===----------------------------------------------------------------------===//
943 //                            Single Block Splitting
944 //===----------------------------------------------------------------------===//
945
946 /// splitSingleBlocks - Split curli into a separate live interval inside each
947 /// basic block in Blocks.
948 void SplitEditor::splitSingleBlocks(const SplitAnalysis::BlockPtrSet &Blocks) {
949   DEBUG(dbgs() << "  splitSingleBlocks for " << Blocks.size() << " blocks.\n");
950   // Determine the first and last instruction using curli in each block.
951   typedef std::pair<SlotIndex,SlotIndex> IndexPair;
952   typedef DenseMap<const MachineBasicBlock*,IndexPair> IndexPairMap;
953   IndexPairMap MBBRange;
954   for (SplitAnalysis::InstrPtrSet::const_iterator I = sa_.usingInstrs_.begin(),
955        E = sa_.usingInstrs_.end(); I != E; ++I) {
956     const MachineBasicBlock *MBB = (*I)->getParent();
957     if (!Blocks.count(MBB))
958       continue;
959     SlotIndex Idx = lis_.getInstructionIndex(*I);
960     DEBUG(dbgs() << "  BB#" << MBB->getNumber() << '\t' << Idx << '\t' << **I);
961     IndexPair &IP = MBBRange[MBB];
962     if (!IP.first.isValid() || Idx < IP.first)
963       IP.first = Idx;
964     if (!IP.second.isValid() || Idx > IP.second)
965       IP.second = Idx;
966   }
967
968   // Create a new interval for each block.
969   for (SplitAnalysis::BlockPtrSet::const_iterator I = Blocks.begin(),
970        E = Blocks.end(); I != E; ++I) {
971     IndexPair &IP = MBBRange[*I];
972     DEBUG(dbgs() << "  splitting for BB#" << (*I)->getNumber() << ": ["
973                  << IP.first << ';' << IP.second << ")\n");
974     assert(IP.first.isValid() && IP.second.isValid());
975
976     openIntv();
977     enterIntvBefore(IP.first);
978     useIntv(IP.first.getBaseIndex(), IP.second.getBoundaryIndex());
979     leaveIntvAfter(IP.second);
980     closeIntv();
981   }
982   finish();
983 }
984
985
986 //===----------------------------------------------------------------------===//
987 //                            Sub Block Splitting
988 //===----------------------------------------------------------------------===//
989
990 /// getBlockForInsideSplit - If curli is contained inside a single basic block,
991 /// and it wou pay to subdivide the interval inside that block, return it.
992 /// Otherwise return NULL. The returned block can be passed to
993 /// SplitEditor::splitInsideBlock.
994 const MachineBasicBlock *SplitAnalysis::getBlockForInsideSplit() {
995   // The interval must be exclusive to one block.
996   if (usingBlocks_.size() != 1)
997     return 0;
998   // Don't to this for less than 4 instructions. We want to be sure that
999   // splitting actually reduces the instruction count per interval.
1000   if (usingInstrs_.size() < 4)
1001     return 0;
1002   return usingBlocks_.begin()->first;
1003 }
1004
1005 /// splitInsideBlock - Split curli into multiple intervals inside MBB.
1006 void SplitEditor::splitInsideBlock(const MachineBasicBlock *MBB) {
1007   SmallVector<SlotIndex, 32> Uses;
1008   Uses.reserve(sa_.usingInstrs_.size());
1009   for (SplitAnalysis::InstrPtrSet::const_iterator I = sa_.usingInstrs_.begin(),
1010        E = sa_.usingInstrs_.end(); I != E; ++I)
1011     if ((*I)->getParent() == MBB)
1012       Uses.push_back(lis_.getInstructionIndex(*I));
1013   DEBUG(dbgs() << "  splitInsideBlock BB#" << MBB->getNumber() << " for "
1014                << Uses.size() << " instructions.\n");
1015   assert(Uses.size() >= 3 && "Need at least 3 instructions");
1016   array_pod_sort(Uses.begin(), Uses.end());
1017
1018   // Simple algorithm: Find the largest gap between uses as determined by slot
1019   // indices. Create new intervals for instructions before the gap and after the
1020   // gap.
1021   unsigned bestPos = 0;
1022   int bestGap = 0;
1023   DEBUG(dbgs() << "    dist (" << Uses[0]);
1024   for (unsigned i = 1, e = Uses.size(); i != e; ++i) {
1025     int g = Uses[i-1].distance(Uses[i]);
1026     DEBUG(dbgs() << ") -" << g << "- (" << Uses[i]);
1027     if (g > bestGap)
1028       bestPos = i, bestGap = g;
1029   }
1030   DEBUG(dbgs() << "), best: -" << bestGap << "-\n");
1031
1032   // bestPos points to the first use after the best gap.
1033   assert(bestPos > 0 && "Invalid gap");
1034
1035   // FIXME: Don't create intervals for low densities.
1036
1037   // First interval before the gap. Don't create single-instr intervals.
1038   if (bestPos > 1) {
1039     openIntv();
1040     enterIntvBefore(Uses.front());
1041     useIntv(Uses.front().getBaseIndex(), Uses[bestPos-1].getBoundaryIndex());
1042     leaveIntvAfter(Uses[bestPos-1]);
1043     closeIntv();
1044   }
1045
1046   // Second interval after the gap.
1047   if (bestPos < Uses.size()-1) {
1048     openIntv();
1049     enterIntvBefore(Uses[bestPos]);
1050     useIntv(Uses[bestPos].getBaseIndex(), Uses.back().getBoundaryIndex());
1051     leaveIntvAfter(Uses.back());
1052     closeIntv();
1053   }
1054
1055   finish();
1056 }