Fill out immediate operand classes, add a new Operand class
[oota-llvm.git] / lib / Target / Target.td
1 //===- Target.td - Target Independent TableGen interface ---*- tablegen -*-===//
2 // 
3 //                     The LLVM Compiler Infrastructure
4 //
5 // This file was developed by the LLVM research group and is distributed under
6 // the University of Illinois Open Source License. See LICENSE.TXT for details.
7 // 
8 //===----------------------------------------------------------------------===//
9 //
10 // This file defines the target-independent interfaces which should be
11 // implemented by each target which is using a TableGen based code generator.
12 //
13 //===----------------------------------------------------------------------===//
14
15
16 //===----------------------------------------------------------------------===//
17 //
18 // Value types - These values correspond to the register types defined in the
19 // ValueTypes.h file.  If you update anything here, you must update it there as
20 // well!
21 //
22 class ValueType<int size, int value> {
23   string Namespace = "MVT";
24   int Size = size;
25   int Value = value;
26 }
27
28 def OtherVT: ValueType<0  ,  0>;   // "Other" value
29 def i1     : ValueType<1  ,  1>;   // One bit boolean value
30 def i8     : ValueType<8  ,  2>;   // 8-bit integer value
31 def i16    : ValueType<16 ,  3>;   // 16-bit integer value
32 def i32    : ValueType<32 ,  4>;   // 32-bit integer value
33 def i64    : ValueType<64 ,  5>;   // 64-bit integer value
34 def i128   : ValueType<128,  5>;   // 128-bit integer value
35 def f32    : ValueType<32 ,  7>;   // 32-bit floating point value
36 def f64    : ValueType<64 ,  8>;   // 64-bit floating point value
37 def f80    : ValueType<80 ,  9>;   // 80-bit floating point value
38 def f128   : ValueType<128,  9>;   // 128-bit floating point value
39 def isVoid : ValueType<0  , 11>;   // Produces no value
40
41 //===----------------------------------------------------------------------===//
42 // Register file description - These classes are used to fill in the target
43 // description classes in llvm/Target/MRegisterInfo.h
44
45
46 // Register - You should define one instance of this class for each register in
47 // the target machine.
48 //
49 class Register {
50   string Namespace = "";
51   string Name = "";
52 }
53
54 // NamedReg - If the name for the 'def' of the register should not become the
55 // "name" of the register, you can use this to specify a custom name instead.
56 //
57 class NamedReg<string n> : Register {
58   let Name = n;
59 }
60
61 // RegisterAliases - You should define instances of this class to indicate which
62 // registers in the register file are aliased together.  This allows the code
63 // generator to be careful not to put two values with overlapping live ranges
64 // into registers which alias.
65 //
66 class RegisterAliases<Register reg, list<Register> aliases> {
67   Register Reg = reg;
68   list<Register> Aliases = aliases;
69 }
70
71 // RegisterClass - Now that all of the registers are defined, and aliases
72 // between registers are defined, specify which registers belong to which
73 // register classes.  This also defines the default allocation order of
74 // registers by register allocators.
75 //
76 class RegisterClass<ValueType regType, int alignment, list<Register> regList> {
77   // RegType - Specify the ValueType of the registers in this register class.
78   // Note that all registers in a register class must have the same ValueType.
79   //
80   ValueType RegType = regType;
81
82   // Alignment - Specify the alignment required of the registers when they are
83   // stored or loaded to memory.
84   //
85   int Size = RegType.Size;
86   int Alignment = alignment;
87
88   // MemberList - Specify which registers are in this class.  If the
89   // allocation_order_* method are not specified, this also defines the order of
90   // allocation used by the register allocator.
91   //
92   list<Register> MemberList = regList;
93
94   // Methods - This member can be used to insert arbitrary code into a generated
95   // register class.   The normal usage of this is to overload virtual methods.
96   code Methods = [{}];
97
98   // isDummyClass - If this is set to true, this register class is not really
99   // part of the target, it is just used for other purposes.
100   bit isDummyClass = 0;
101 }
102
103
104 //===----------------------------------------------------------------------===//
105 // Instruction set description - These classes correspond to the C++ classes in
106 // the Target/TargetInstrInfo.h file.
107 //
108 class Instruction {
109   string Name = "";         // The opcode string for this instruction
110   string Namespace = "";
111
112   dag OperandList;          // An dag containing the MI operand list.
113   string AsmString = "";    // The .s format to print the instruction with.
114
115   // Pattern - Set to the DAG pattern for this instruction, if we know of one,
116   // otherwise, uninitialized.
117   list<dag> Pattern;
118
119   // The follow state will eventually be inferred automatically from the
120   // instruction pattern.
121
122   list<Register> Uses = []; // Default to using no non-operand registers
123   list<Register> Defs = []; // Default to modifying no non-operand registers
124
125   // These bits capture information about the high-level semantics of the
126   // instruction.
127   bit isReturn     = 0;     // Is this instruction a return instruction?
128   bit isBranch     = 0;     // Is this instruction a branch instruction?
129   bit isBarrier    = 0;     // Can control flow fall through this instruction?
130   bit isCall       = 0;     // Is this instruction a call instruction?
131   bit isTwoAddress = 0;     // Is this a two address instruction?
132   bit isTerminator = 0;     // Is this part of the terminator for a basic block?
133 }
134
135
136 // InstrInfo - This class should only be instantiated once to provide parameters
137 // which are global to the the target machine.
138 //
139 class InstrInfo {
140   Instruction PHIInst;
141
142   // If the target wants to associate some target-specific information with each
143   // instruction, it should provide these two lists to indicate how to assemble
144   // the target specific information into the 32 bits available.
145   //
146   list<string> TSFlagsFields = [];
147   list<int>    TSFlagsShifts = [];
148 }
149
150 /// ops definition - This is just a simple marker used to identify the operands
151 /// list for an instruction.  This should be used like this:
152 ///     (ops R32:$dst, R32:$src) or something similar.
153 def ops;
154
155 /// Operand Types - These provide the built-in operand types that may be used
156 /// by a target.  Targets can optionally provide their own operand types as
157 /// needed, though this should not be needed for RISC targets.
158 class Operand<ValueType ty> {
159   int NumMIOperands = 1;
160   ValueType Type = ty;
161   string PrintMethod = "printOperand";
162 }
163
164 def i8imm  : Operand<i8>;
165 def i16imm : Operand<i16>;
166 def i32imm : Operand<i32>;
167 def i64imm : Operand<i64>;
168
169 //===----------------------------------------------------------------------===//
170 // Target - This class contains the "global" target information
171 //
172 class Target {
173   // CalleeSavedRegisters - As you might guess, this is a list of the callee
174   // saved registers for a target.
175   list<Register> CalleeSavedRegisters = [];
176   
177   // PointerType - Specify the value type to be used to represent pointers in
178   // this target.  Typically this is an i32 or i64 type.
179   ValueType PointerType;
180
181   // InstructionSet - Instruction set description for this target
182   InstrInfo InstructionSet;
183 }
184
185
186 //===----------------------------------------------------------------------===//
187 // DAG node definitions used by the instruction selector.
188 //
189 // NOTE: all of this is a work-in-progress and should be ignored for now.
190 //
191
192 class Expander<dag pattern, list<dag> result> {
193   dag Pattern      = pattern;
194   list<dag> Result = result;
195 }
196
197 class DagNodeValType;
198 def DNVT_any   : DagNodeValType;  // No constraint on tree node
199 def DNVT_void  : DagNodeValType;  // Tree node always returns void
200 def DNVT_val   : DagNodeValType;  // A non-void type
201 def DNVT_arg0  : DagNodeValType;  // Tree node returns same type as Arg0
202 def DNVT_arg1  : DagNodeValType;  // Tree node returns same type as Arg1
203 def DNVT_ptr   : DagNodeValType;  // The target pointer type
204 def DNVT_i8    : DagNodeValType;  // Always have an i8 value
205
206 class DagNode<DagNodeValType ret, list<DagNodeValType> args> {
207   DagNodeValType RetType = ret;
208   list<DagNodeValType> ArgTypes = args;
209   string EnumName = ?;
210 }
211
212 // BuiltinDagNodes are built into the instruction selector and correspond to
213 // enum values.
214 class BuiltinDagNode<DagNodeValType Ret, list<DagNodeValType> Args,
215                      string Ename> : DagNode<Ret, Args> {
216   let EnumName = Ename;
217 }
218
219 // Magic nodes...
220 def Void       : RegisterClass<isVoid,0,[]> { let isDummyClass = 1; }
221 def set        : DagNode<DNVT_void, [DNVT_val, DNVT_arg0]>;
222 def chain      : BuiltinDagNode<DNVT_void, [DNVT_void, DNVT_void], "ChainNode">;
223 def blockchain : BuiltinDagNode<DNVT_void, [DNVT_void, DNVT_void],
224                                 "BlockChainNode">;
225 def ChainExpander      : Expander<(chain Void, Void), []>;
226 def BlockChainExpander : Expander<(blockchain Void, Void), []>;
227
228
229 // Terminals...
230 def imm        : BuiltinDagNode<DNVT_val, [], "Constant">;
231 def frameidx   : BuiltinDagNode<DNVT_ptr, [], "FrameIndex">;
232 def basicblock : BuiltinDagNode<DNVT_ptr, [], "BasicBlock">;
233
234 // Arithmetic...
235 def plus    : BuiltinDagNode<DNVT_arg0, [DNVT_arg1, DNVT_arg0], "Plus">;
236 def minus   : BuiltinDagNode<DNVT_arg0, [DNVT_arg1, DNVT_arg0], "Minus">;
237 def times   : BuiltinDagNode<DNVT_arg0, [DNVT_arg1, DNVT_arg0], "Times">;
238 def sdiv    : BuiltinDagNode<DNVT_arg0, [DNVT_arg1, DNVT_arg0], "SDiv">;
239 def udiv    : BuiltinDagNode<DNVT_arg0, [DNVT_arg1, DNVT_arg0], "UDiv">;
240 def srem    : BuiltinDagNode<DNVT_arg0, [DNVT_arg1, DNVT_arg0], "SRem">;
241 def urem    : BuiltinDagNode<DNVT_arg0, [DNVT_arg1, DNVT_arg0], "URem">;
242 def and     : BuiltinDagNode<DNVT_arg0, [DNVT_arg1, DNVT_arg0], "And">;
243 def or      : BuiltinDagNode<DNVT_arg0, [DNVT_arg1, DNVT_arg0], "Or">;
244 def xor     : BuiltinDagNode<DNVT_arg0, [DNVT_arg1, DNVT_arg0], "Xor">;
245
246 // Comparisons...
247 def seteq   : BuiltinDagNode<DNVT_i8  , [DNVT_arg1, DNVT_arg0], "SetEQ">;
248 def setne   : BuiltinDagNode<DNVT_i8  , [DNVT_arg1, DNVT_arg0], "SetNE">;
249 def setlt   : BuiltinDagNode<DNVT_i8  , [DNVT_arg1, DNVT_arg0], "SetLT">;
250 def setle   : BuiltinDagNode<DNVT_i8  , [DNVT_arg1, DNVT_arg0], "SetLE">;
251 def setgt   : BuiltinDagNode<DNVT_i8  , [DNVT_arg1, DNVT_arg0], "SetGT">;
252 def setge   : BuiltinDagNode<DNVT_i8  , [DNVT_arg1, DNVT_arg0], "SetGE">;
253
254 def load    : BuiltinDagNode<DNVT_val, [DNVT_ptr], "Load">;
255 //def store   : BuiltinDagNode<DNVT_Void, [DNVT_ptr, DNVT_val]>;
256
257 // Other...
258 def ret     : BuiltinDagNode<DNVT_void, [DNVT_val], "Ret">;
259 def retvoid : BuiltinDagNode<DNVT_void, [], "RetVoid">;
260 def br      : BuiltinDagNode<DNVT_void, [DNVT_ptr], "Br">;
261 def brcond  : BuiltinDagNode<DNVT_void, [DNVT_i8, DNVT_ptr, DNVT_ptr],
262                              "BrCond">;
263
264 def unspec1 : BuiltinDagNode<DNVT_any , [DNVT_val], "Unspec1">;
265 def unspec2 : BuiltinDagNode<DNVT_any , [DNVT_val, DNVT_val], "Unspec2">;
266
267 //===----------------------------------------------------------------------===//
268 // DAG nonterminals definitions used by the instruction selector...
269 //
270 class Nonterminal<dag pattern> {
271   dag Pattern = pattern;
272   bit BuiltIn = 0;
273 }
274