Merge branches 'pci/enumeration', 'pci/virtualization' and 'pci/cleanup' into next
authorBjorn Helgaas <bhelgaas@google.com>
Thu, 25 Sep 2014 19:52:02 +0000 (13:52 -0600)
committerBjorn Helgaas <bhelgaas@google.com>
Thu, 25 Sep 2014 19:52:02 +0000 (13:52 -0600)
* pci/enumeration:
  PCI: Generate uppercase hex for modalias interface class

* pci/virtualization:
  PCI: Add ACS quirk for Solarflare SFC9120 & SFC9140
  PCI: Remove unused pci_get_dma_source()
  PCI: Remove unused pci_find_upstream_pcie_bridge()

* pci/cleanup:
  PCI: Remove assignment from complicated "if" conditions
  PCI: Remove assignment from "if" conditions
  PCI: Remove unnecessary curly braces
  PCI: Add space before open parenthesis

55 files changed:
Documentation/devicetree/bindings/pci/designware-pcie.txt
Documentation/devicetree/bindings/pci/nvidia,tegra20-pcie.txt
Documentation/devicetree/bindings/pci/pci-keystone.txt [new file with mode: 0644]
Documentation/devicetree/bindings/pci/xilinx-pcie.txt [new file with mode: 0644]
Documentation/driver-model/devres.txt
MAINTAINERS
arch/arm/boot/dts/spear1310.dtsi
arch/arm/boot/dts/spear1340.dtsi
arch/x86/pci/common.c
arch/x86/pci/mmconfig-shared.c
arch/x86/pci/pcbios.c
drivers/gpu/drm/vmwgfx/svga_reg.h
drivers/misc/vmw_vmci/vmci_guest.c
drivers/net/vmxnet3/vmxnet3_int.h
drivers/pci/host/Kconfig
drivers/pci/host/Makefile
drivers/pci/host/pci-imx6.c
drivers/pci/host/pci-keystone-dw.c [new file with mode: 0644]
drivers/pci/host/pci-keystone.c [new file with mode: 0644]
drivers/pci/host/pci-keystone.h [new file with mode: 0644]
drivers/pci/host/pci-mvebu.c
drivers/pci/host/pci-tegra.c
drivers/pci/host/pcie-designware.c
drivers/pci/host/pcie-designware.h
drivers/pci/host/pcie-spear13xx.c
drivers/pci/host/pcie-xilinx.c [new file with mode: 0644]
drivers/pci/hotplug/Makefile
drivers/pci/hotplug/acpi_pcihp.c
drivers/pci/hotplug/acpiphp_glue.c
drivers/pci/hotplug/pciehp.h
drivers/pci/hotplug/pciehp_core.c
drivers/pci/hotplug/pciehp_hpc.c
drivers/pci/hotplug/pciehp_pci.c
drivers/pci/hotplug/pcihp_slot.c [deleted file]
drivers/pci/hotplug/shpchp_pci.c
drivers/pci/iov.c
drivers/pci/pci-acpi.c
drivers/pci/pci-driver.c
drivers/pci/pci-sysfs.c
drivers/pci/pci.c
drivers/pci/pcie/portdrv_pci.c
drivers/pci/probe.c
drivers/pci/quirks.c
drivers/pci/search.c
drivers/scsi/vmw_pvscsi.h
drivers/xen/xen-pciback/pci_stub.c
include/linux/aer.h
include/linux/ioport.h
include/linux/pci.h
include/linux/pci_hotplug.h
include/linux/pci_ids.h
include/uapi/linux/pci_regs.h
kernel/resource.c
virt/kvm/assigned-dev.c
virt/kvm/iommu.c

index ed0d9b9fff2be5f5be5902554d0da70ed81591df..9f4faa8e8d005ee1810f0dc4272d63f4af3e17e6 100644 (file)
@@ -23,3 +23,6 @@ Required properties:
 
 Optional properties:
 - reset-gpio: gpio pin number of power good signal
+- bus-range: PCI bus numbers covered (it is recommended for new devicetrees to
+  specify this property, to keep backwards compatibility a range of 0x00-0xff
+  is assumed if not present)
index 0823362548dc04c03416a83e001ef19677dbba62..d763e047c6aeb1b6a31a7f06a1e07bda605504b1 100644 (file)
@@ -1,7 +1,10 @@
 NVIDIA Tegra PCIe controller
 
 Required properties:
-- compatible: "nvidia,tegra20-pcie" or "nvidia,tegra30-pcie"
+- compatible: Must be one of:
+  - "nvidia,tegra20-pcie"
+  - "nvidia,tegra30-pcie"
+  - "nvidia,tegra124-pcie"
 - device_type: Must be "pci"
 - reg: A list of physical base address and length for each set of controller
   registers. Must contain an entry for each entry in the reg-names property.
@@ -57,6 +60,11 @@ Required properties:
   - afi
   - pcie_x
 
+Required properties on Tegra124 and later:
+- phys: Must contain an entry for each entry in phy-names.
+- phy-names: Must include the following entries:
+  - pcie
+
 Power supplies for Tegra20:
 - avdd-pex-supply: Power supply for analog PCIe logic. Must supply 1.05 V.
 - vdd-pex-supply: Power supply for digital PCIe I/O. Must supply 1.05 V.
@@ -84,6 +92,21 @@ Power supplies for Tegra30:
     - avdd-pexb-supply: Power supply for analog PCIe logic. Must supply 1.05 V.
     - vdd-pexb-supply: Power supply for digital PCIe I/O. Must supply 1.05 V.
 
+Power supplies for Tegra124:
+- Required:
+  - avddio-pex-supply: Power supply for analog PCIe logic. Must supply 1.05 V.
+  - dvddio-pex-supply: Power supply for digital PCIe I/O. Must supply 1.05 V.
+  - avdd-pex-pll-supply: Power supply for dedicated (internal) PCIe PLL. Must
+    supply 1.05 V.
+  - hvdd-pex-supply: High-voltage supply for PCIe I/O and PCIe output clocks.
+    Must supply 3.3 V.
+  - hvdd-pex-pll-e-supply: High-voltage supply for PLLE (shared with USB3).
+    Must supply 3.3 V.
+  - vddio-pex-ctl-supply: Power supply for PCIe control I/O partition. Must
+    supply 2.8-3.3 V.
+  - avdd-pll-erefe-supply: Power supply for PLLE (shared with USB3). Must
+    supply 1.05 V.
+
 Root ports are defined as subnodes of the PCIe controller node.
 
 Required properties:
diff --git a/Documentation/devicetree/bindings/pci/pci-keystone.txt b/Documentation/devicetree/bindings/pci/pci-keystone.txt
new file mode 100644 (file)
index 0000000..54eae29
--- /dev/null
@@ -0,0 +1,63 @@
+TI Keystone PCIe interface
+
+Keystone PCI host Controller is based on Designware PCI h/w version 3.65.
+It shares common functions with PCIe Designware core driver and inherit
+common properties defined in
+Documentation/devicetree/bindings/pci/designware-pci.txt
+
+Please refer to Documentation/devicetree/bindings/pci/designware-pci.txt
+for the details of Designware DT bindings.  Additional properties are
+described here as well as properties that are not applicable.
+
+Required Properties:-
+
+compatibility: "ti,keystone-pcie"
+reg:   index 1 is the base address and length of DW application registers.
+       index 2 is the base address and length of PCI device ID register.
+
+pcie_msi_intc : Interrupt controller device node for MSI IRQ chip
+       interrupt-cells: should be set to 1
+       interrupt-parent: Parent interrupt controller phandle
+       interrupts: GIC interrupt lines connected to PCI MSI interrupt lines
+
+ Example:
+       pcie_msi_intc: msi-interrupt-controller {
+                       interrupt-controller;
+                       #interrupt-cells = <1>;
+                       interrupt-parent = <&gic>;
+                       interrupts = <GIC_SPI 30 IRQ_TYPE_EDGE_RISING>,
+                                       <GIC_SPI 31 IRQ_TYPE_EDGE_RISING>,
+                                       <GIC_SPI 32 IRQ_TYPE_EDGE_RISING>,
+                                       <GIC_SPI 33 IRQ_TYPE_EDGE_RISING>,
+                                       <GIC_SPI 34 IRQ_TYPE_EDGE_RISING>,
+                                       <GIC_SPI 35 IRQ_TYPE_EDGE_RISING>,
+                                       <GIC_SPI 36 IRQ_TYPE_EDGE_RISING>,
+                                       <GIC_SPI 37 IRQ_TYPE_EDGE_RISING>;
+       };
+
+pcie_intc: Interrupt controller device node for Legacy IRQ chip
+       interrupt-cells: should be set to 1
+       interrupt-parent: Parent interrupt controller phandle
+       interrupts: GIC interrupt lines connected to PCI Legacy interrupt lines
+
+ Example:
+       pcie_intc: legacy-interrupt-controller {
+               interrupt-controller;
+               #interrupt-cells = <1>;
+               interrupt-parent = <&gic>;
+               interrupts = <GIC_SPI 26 IRQ_TYPE_EDGE_RISING>,
+                       <GIC_SPI 27 IRQ_TYPE_EDGE_RISING>,
+                       <GIC_SPI 28 IRQ_TYPE_EDGE_RISING>,
+                       <GIC_SPI 29 IRQ_TYPE_EDGE_RISING>;
+       };
+
+Optional properties:-
+       phys: phandle to Generic Keystone SerDes phy for PCI
+       phy-names: name of the Generic Keystine SerDes phy for PCI
+         - If boot loader already does PCI link establishment, then phys and
+           phy-names shouldn't be present.
+
+Designware DT Properties not applicable for Keystone PCI
+
+1. pcie_bus clock-names not used.  Instead, a phandle to phys is used.
+
diff --git a/Documentation/devicetree/bindings/pci/xilinx-pcie.txt b/Documentation/devicetree/bindings/pci/xilinx-pcie.txt
new file mode 100644 (file)
index 0000000..3e2c88d
--- /dev/null
@@ -0,0 +1,62 @@
+* Xilinx AXI PCIe Root Port Bridge DT description
+
+Required properties:
+- #address-cells: Address representation for root ports, set to <3>
+- #size-cells: Size representation for root ports, set to <2>
+- #interrupt-cells: specifies the number of cells needed to encode an
+       interrupt source. The value must be 1.
+- compatible: Should contain "xlnx,axi-pcie-host-1.00.a"
+- reg: Should contain AXI PCIe registers location and length
+- device_type: must be "pci"
+- interrupts: Should contain AXI PCIe interrupt
+- interrupt-map-mask,
+  interrupt-map: standard PCI properties to define the mapping of the
+       PCI interface to interrupt numbers.
+- ranges: ranges for the PCI memory regions (I/O space region is not
+       supported by hardware)
+       Please refer to the standard PCI bus binding document for a more
+       detailed explanation
+
+Optional properties:
+- bus-range: PCI bus numbers covered
+
+Interrupt controller child node
++++++++++++++++++++++++++++++++
+Required properties:
+- interrupt-controller: identifies the node as an interrupt controller
+- #address-cells: specifies the number of cells needed to encode an
+       address. The value must be 0.
+- #interrupt-cells: specifies the number of cells needed to encode an
+       interrupt source. The value must be 1.
+
+NOTE:
+The core provides a single interrupt for both INTx/MSI messages. So,
+created a interrupt controller node to support 'interrupt-map' DT
+functionality.  The driver will create an IRQ domain for this map, decode
+the four INTx interrupts in ISR and route them to this domain.
+
+
+Example:
+++++++++
+
+       pci_express: axi-pcie@50000000 {
+               #address-cells = <3>;
+               #size-cells = <2>;
+               #interrupt-cells = <1>;
+               compatible = "xlnx,axi-pcie-host-1.00.a";
+               reg = < 0x50000000 0x10000000 >;
+               device_type = "pci";
+               interrupts = < 0 52 4 >;
+               interrupt-map-mask = <0 0 0 7>;
+               interrupt-map = <0 0 0 1 &pcie_intc 1>,
+                               <0 0 0 2 &pcie_intc 2>,
+                               <0 0 0 3 &pcie_intc 3>,
+                               <0 0 0 4 &pcie_intc 4>;
+               ranges = < 0x02000000 0 0x60000000 0x60000000 0 0x10000000 >;
+
+               pcie_intc: interrupt-controller {
+                       interrupt-controller;
+                       #address-cells = <0>;
+                       #interrupt-cells = <1>;
+               }
+       };
index d14710b044395fad64babfdaf42b5a9ee99db2bf..befc3fe12ba6104f535e9534707475ae188bc897 100644 (file)
@@ -264,8 +264,10 @@ IIO
 IO region
   devm_release_mem_region()
   devm_release_region()
+  devm_release_resource()
   devm_request_mem_region()
   devm_request_region()
+  devm_request_resource()
 
 IOMAP
   devm_ioport_map()
index 1ff06dee651d5da4806dfcec1ab12e8281110e58..07fd7e279700f2bf05b070585a7575521954f3e0 100644 (file)
@@ -6870,12 +6870,19 @@ F:      arch/x86/kernel/quirks.c
 
 PCI DRIVER FOR IMX6
 M:     Richard Zhu <r65037@freescale.com>
-M:     Shawn Guo <shawn.guo@freescale.com>
+M:     Lucas Stach <l.stach@pengutronix.de>
 L:     linux-pci@vger.kernel.org
 L:     linux-arm-kernel@lists.infradead.org (moderated for non-subscribers)
 S:     Maintained
 F:     drivers/pci/host/*imx6*
 
+PCI DRIVER FOR TI KEYSTONE
+M:     Murali Karicheri <m-karicheri2@ti.com>
+L:     linux-pci@vger.kernel.org
+L:     linux-arm-kernel@lists.infradead.org (moderated for non-subscribers)
+S:     Maintained
+F:     drivers/pci/host/*keystone*
+
 PCI DRIVER FOR MVEBU (Marvell Armada 370 and Armada XP SOC support)
 M:     Thomas Petazzoni <thomas.petazzoni@free-electrons.com>
 M:     Jason Cooper <jason@lakedaemon.net>
index fa5f2bb5f106fd29aafddb40be9e821feab4ce86..9d342920695a5f4e465b04332cebddc9ff73c347 100644 (file)
@@ -85,7 +85,8 @@
 
                pcie0: pcie@b1000000 {
                        compatible = "st,spear1340-pcie", "snps,dw-pcie";
-                       reg = <0xb1000000 0x4000>;
+                       reg = <0xb1000000 0x4000>, <0x80000000 0x20000>;
+                       reg-names = "dbi", "config";
                        interrupts = <0 68 0x4>;
                        interrupt-map-mask = <0 0 0 0>;
                        interrupt-map = <0x0 0 &gic 0 68 0x4>;
                        #address-cells = <3>;
                        #size-cells = <2>;
                        device_type = "pci";
-                       ranges = <0x00000800 0 0x80000000 0x80000000 0 0x00020000   /* configuration space */
-                               0x81000000 0 0   0x80020000 0 0x00010000   /* downstream I/O */
+                       ranges = <0x81000000 0 0         0x80020000 0 0x00010000   /* downstream I/O */
                                0x82000000 0 0x80030000 0xc0030000 0 0x0ffd0000>; /* non-prefetchable memory */
                        status = "disabled";
                };
 
                pcie1: pcie@b1800000 {
                        compatible = "st,spear1340-pcie", "snps,dw-pcie";
-                       reg = <0xb1800000 0x4000>;
+                       reg = <0xb1800000 0x4000>, <0x90000000 0x20000>;
+                       reg-names = "dbi", "config";
                        interrupts = <0 69 0x4>;
                        interrupt-map-mask = <0 0 0 0>;
                        interrupt-map = <0x0 0 &gic 0 69 0x4>;
                        #address-cells = <3>;
                        #size-cells = <2>;
                        device_type = "pci";
-                       ranges = <0x00000800 0 0x90000000 0x90000000 0 0x00020000   /* configuration space */
-                               0x81000000 0 0  0x90020000 0 0x00010000   /* downstream I/O */
+                       ranges = <0x81000000 0 0  0x90020000 0 0x00010000   /* downstream I/O */
                                0x82000000 0 0x90030000 0x90030000 0 0x0ffd0000>; /* non-prefetchable memory */
                        status = "disabled";
                };
 
                pcie2: pcie@b4000000 {
                        compatible = "st,spear1340-pcie", "snps,dw-pcie";
-                       reg = <0xb4000000 0x4000>;
+                       reg = <0xb4000000 0x4000>, <0xc0000000 0x20000>;
+                       reg-names = "dbi", "config";
                        interrupts = <0 70 0x4>;
                        interrupt-map-mask = <0 0 0 0>;
                        interrupt-map = <0x0 0 &gic 0 70 0x4>;
                        #address-cells = <3>;
                        #size-cells = <2>;
                        device_type = "pci";
-                       ranges = <0x00000800 0 0xc0000000 0xc0000000 0 0x00020000   /* configuration space */
-                               0x81000000 0 0   0xc0020000 0 0x00010000   /* downstream I/O */
+                       ranges = <0x81000000 0 0         0xc0020000 0 0x00010000   /* downstream I/O */
                                0x82000000 0 0xc0030000 0xc0030000 0 0x0ffd0000>; /* non-prefetchable memory */
                        status = "disabled";
                };
index e71df0f2cb52d579bcfef4d114cba5d6e5acddfb..13e1aa33daa2e379bde1b3cbc2882b1816ba3a39 100644 (file)
@@ -50,7 +50,8 @@
 
                pcie0: pcie@b1000000 {
                        compatible = "st,spear1340-pcie", "snps,dw-pcie";
-                       reg = <0xb1000000 0x4000>;
+                       reg = <0xb1000000 0x4000>, <0x80000000 0x20000>;
+                       reg-names = "dbi", "config";
                        interrupts = <0 68 0x4>;
                        interrupt-map-mask = <0 0 0 0>;
                        interrupt-map = <0x0 0 &gic 0 68 0x4>;
@@ -60,8 +61,7 @@
                        #address-cells = <3>;
                        #size-cells = <2>;
                        device_type = "pci";
-                       ranges = <0x00000800 0 0x80000000 0x80000000 0 0x00020000   /* configuration space */
-                               0x81000000 0 0   0x80020000 0 0x00010000   /* downstream I/O */
+                       ranges = <0x81000000 0 0         0x80020000 0 0x00010000   /* downstream I/O */
                                0x82000000 0 0x80030000 0xc0030000 0 0x0ffd0000>; /* non-prefetchable memory */
                        status = "disabled";
                };
index 059a76c29739a60f7665e0f582c317d038893918..7b20bccf3648dfb0fcb534f0290c023e12a44f9a 100644 (file)
@@ -81,14 +81,14 @@ struct pci_ops pci_root_ops = {
  */
 DEFINE_RAW_SPINLOCK(pci_config_lock);
 
-static int can_skip_ioresource_align(const struct dmi_system_id *d)
+static int __init can_skip_ioresource_align(const struct dmi_system_id *d)
 {
        pci_probe |= PCI_CAN_SKIP_ISA_ALIGN;
        printk(KERN_INFO "PCI: %s detected, can skip ISA alignment\n", d->ident);
        return 0;
 }
 
-static const struct dmi_system_id can_skip_pciprobe_dmi_table[] = {
+static const struct dmi_system_id can_skip_pciprobe_dmi_table[] __initconst = {
 /*
  * Systems where PCI IO resource ISA alignment can be skipped
  * when the ISA enable bit in the bridge control is not set
@@ -186,7 +186,7 @@ void pcibios_remove_bus(struct pci_bus *bus)
  * on the kernel command line (which was parsed earlier).
  */
 
-static int set_bf_sort(const struct dmi_system_id *d)
+static int __init set_bf_sort(const struct dmi_system_id *d)
 {
        if (pci_bf_sort == pci_bf_sort_default) {
                pci_bf_sort = pci_dmi_bf;
@@ -195,8 +195,8 @@ static int set_bf_sort(const struct dmi_system_id *d)
        return 0;
 }
 
-static void read_dmi_type_b1(const struct dmi_header *dm,
-                                      void *private_data)
+static void __init read_dmi_type_b1(const struct dmi_header *dm,
+                                   void *private_data)
 {
        u8 *d = (u8 *)dm + 4;
 
@@ -217,7 +217,7 @@ static void read_dmi_type_b1(const struct dmi_header *dm,
        }
 }
 
-static int find_sort_method(const struct dmi_system_id *d)
+static int __init find_sort_method(const struct dmi_system_id *d)
 {
        dmi_walk(read_dmi_type_b1, NULL);
 
@@ -232,7 +232,7 @@ static int find_sort_method(const struct dmi_system_id *d)
  * Enable renumbering of PCI bus# ranges to reach all PCI busses (Cardbus)
  */
 #ifdef __i386__
-static int assign_all_busses(const struct dmi_system_id *d)
+static int __init assign_all_busses(const struct dmi_system_id *d)
 {
        pci_probe |= PCI_ASSIGN_ALL_BUSSES;
        printk(KERN_INFO "%s detected: enabling PCI bus# renumbering"
@@ -241,7 +241,7 @@ static int assign_all_busses(const struct dmi_system_id *d)
 }
 #endif
 
-static int set_scan_all(const struct dmi_system_id *d)
+static int __init set_scan_all(const struct dmi_system_id *d)
 {
        printk(KERN_INFO "PCI: %s detected, enabling pci=pcie_scan_all\n",
               d->ident);
@@ -249,7 +249,7 @@ static int set_scan_all(const struct dmi_system_id *d)
        return 0;
 }
 
-static const struct dmi_system_id pciprobe_dmi_table[] = {
+static const struct dmi_system_id pciprobe_dmi_table[] __initconst = {
 #ifdef __i386__
 /*
  * Laptops which need pci=assign-busses to see Cardbus cards
@@ -512,7 +512,7 @@ int __init pcibios_init(void)
        return 0;
 }
 
-char * __init pcibios_setup(char *str)
+char *__init pcibios_setup(char *str)
 {
        if (!strcmp(str, "off")) {
                pci_probe = 0;
index 248642f4bab7140c6ee7e80862b46b0c76fdc42f..326198a4434e241cd7b50446586f90653931e7dd 100644 (file)
@@ -31,7 +31,7 @@ static DEFINE_MUTEX(pci_mmcfg_lock);
 
 LIST_HEAD(pci_mmcfg_list);
 
-static __init void pci_mmconfig_remove(struct pci_mmcfg_region *cfg)
+static void __init pci_mmconfig_remove(struct pci_mmcfg_region *cfg)
 {
        if (cfg->res.parent)
                release_resource(&cfg->res);
@@ -39,7 +39,7 @@ static __init void pci_mmconfig_remove(struct pci_mmcfg_region *cfg)
        kfree(cfg);
 }
 
-static __init void free_all_mmcfg(void)
+static void __init free_all_mmcfg(void)
 {
        struct pci_mmcfg_region *cfg, *tmp;
 
@@ -93,7 +93,7 @@ static struct pci_mmcfg_region *pci_mmconfig_alloc(int segment, int start,
        return new;
 }
 
-static __init struct pci_mmcfg_region *pci_mmconfig_add(int segment, int start,
+static struct pci_mmcfg_region *__init pci_mmconfig_add(int segment, int start,
                                                        int end, u64 addr)
 {
        struct pci_mmcfg_region *new;
@@ -125,7 +125,7 @@ struct pci_mmcfg_region *pci_mmconfig_lookup(int segment, int bus)
        return NULL;
 }
 
-static const char __init *pci_mmcfg_e7520(void)
+static const char *__init pci_mmcfg_e7520(void)
 {
        u32 win;
        raw_pci_ops->read(0, 0, PCI_DEVFN(0, 0), 0xce, 2, &win);
@@ -140,7 +140,7 @@ static const char __init *pci_mmcfg_e7520(void)
        return "Intel Corporation E7520 Memory Controller Hub";
 }
 
-static const char __init *pci_mmcfg_intel_945(void)
+static const char *__init pci_mmcfg_intel_945(void)
 {
        u32 pciexbar, mask = 0, len = 0;
 
@@ -184,7 +184,7 @@ static const char __init *pci_mmcfg_intel_945(void)
        return "Intel Corporation 945G/GZ/P/PL Express Memory Controller Hub";
 }
 
-static const char __init *pci_mmcfg_amd_fam10h(void)
+static const char *__init pci_mmcfg_amd_fam10h(void)
 {
        u32 low, high, address;
        u64 base, msr;
@@ -235,21 +235,25 @@ static const char __init *pci_mmcfg_amd_fam10h(void)
 }
 
 static bool __initdata mcp55_checked;
-static const char __init *pci_mmcfg_nvidia_mcp55(void)
+static const char *__init pci_mmcfg_nvidia_mcp55(void)
 {
        int bus;
        int mcp55_mmconf_found = 0;
 
-       static const u32 extcfg_regnum          = 0x90;
-       static const u32 extcfg_regsize         = 4;
-       static const u32 extcfg_enable_mask     = 1<<31;
-       static const u32 extcfg_start_mask      = 0xff<<16;
-       static const int extcfg_start_shift     = 16;
-       static const u32 extcfg_size_mask       = 0x3<<28;
-       static const int extcfg_size_shift      = 28;
-       static const int extcfg_sizebus[]       = {0x100, 0x80, 0x40, 0x20};
-       static const u32 extcfg_base_mask[]     = {0x7ff8, 0x7ffc, 0x7ffe, 0x7fff};
-       static const int extcfg_base_lshift     = 25;
+       static const u32 extcfg_regnum __initconst      = 0x90;
+       static const u32 extcfg_regsize __initconst     = 4;
+       static const u32 extcfg_enable_mask __initconst = 1 << 31;
+       static const u32 extcfg_start_mask __initconst  = 0xff << 16;
+       static const int extcfg_start_shift __initconst = 16;
+       static const u32 extcfg_size_mask __initconst   = 0x3 << 28;
+       static const int extcfg_size_shift __initconst  = 28;
+       static const int extcfg_sizebus[] __initconst   = {
+               0x100, 0x80, 0x40, 0x20
+       };
+       static const u32 extcfg_base_mask[] __initconst = {
+               0x7ff8, 0x7ffc, 0x7ffe, 0x7fff
+       };
+       static const int extcfg_base_lshift __initconst = 25;
 
        /*
         * do check if amd fam10h already took over
@@ -302,7 +306,7 @@ struct pci_mmcfg_hostbridge_probe {
        const char *(*probe)(void);
 };
 
-static struct pci_mmcfg_hostbridge_probe pci_mmcfg_probes[] __initdata = {
+static const struct pci_mmcfg_hostbridge_probe pci_mmcfg_probes[] __initconst = {
        { 0, PCI_DEVFN(0, 0), PCI_VENDOR_ID_INTEL,
          PCI_DEVICE_ID_INTEL_E7520_MCH, pci_mmcfg_e7520 },
        { 0, PCI_DEVFN(0, 0), PCI_VENDOR_ID_INTEL,
index c77b24a8b2daf499e07527be6f27d5b8c2df20d0..9b83b9051ae7bb78daf009e1d6c95927c74f2877 100644 (file)
@@ -79,13 +79,13 @@ union bios32 {
 static struct {
        unsigned long address;
        unsigned short segment;
-} bios32_indirect = { 0, __KERNEL_CS };
+} bios32_indirect __initdata = { 0, __KERNEL_CS };
 
 /*
  * Returns the entry point for the given service, NULL on error
  */
 
-static unsigned long bios32_service(unsigned long service)
+static unsigned long __init bios32_service(unsigned long service)
 {
        unsigned char return_code;      /* %al */
        unsigned long address;          /* %ebx */
@@ -124,7 +124,7 @@ static struct {
 
 static int pci_bios_present;
 
-static int check_pcibios(void)
+static int __init check_pcibios(void)
 {
        u32 signature, eax, ebx, ecx;
        u8 status, major_ver, minor_ver, hw_mech;
@@ -312,7 +312,7 @@ static const struct pci_raw_ops pci_bios_access = {
  * Try to find PCI BIOS.
  */
 
-static const struct pci_raw_ops *pci_find_bios(void)
+static const struct pci_raw_ops *__init pci_find_bios(void)
 {
        union bios32 *check;
        unsigned char sum;
index 11323dd5196f495e5f2dd24b388c1720f3b6c1ce..e4259c2c1accdf7114cff9498b093c7afae0807a 100644 (file)
@@ -35,7 +35,6 @@
 /*
  * PCI device IDs.
  */
-#define PCI_VENDOR_ID_VMWARE            0x15AD
 #define PCI_DEVICE_ID_VMWARE_SVGA2      0x0405
 
 /*
index 248399a881af508f537be12283e999d9e318924a..189b325197488d9ed48f1cecc80ddb06ce05e329 100644 (file)
@@ -35,7 +35,6 @@
 #include "vmci_driver.h"
 #include "vmci_event.h"
 
-#define PCI_VENDOR_ID_VMWARE           0x15AD
 #define PCI_DEVICE_ID_VMWARE_VMCI      0x0740
 
 #define VMCI_UTIL_NUM_RESOURCES 1
index 29ee77f2c97f3cc0e27e2985751297e3fbf01f90..c388ef509d6652a0ec7dffcf30b3046e7ab9b22b 100644 (file)
@@ -117,7 +117,6 @@ enum {
 /*
  * PCI vendor and device IDs.
  */
-#define PCI_VENDOR_ID_VMWARE            0x15AD
 #define PCI_DEVICE_ID_VMWARE_VMXNET3    0x07B0
 #define MAX_ETHERNET_CARDS             10
 #define MAX_PCI_PASSTHRU_DEVICE                6
index 8922c376456aee763090296a7045211aaae90839..34134d64f35a6db46ab91d2362442b350412efd0 100644 (file)
@@ -63,4 +63,23 @@ config PCIE_SPEAR13XX
        help
          Say Y here if you want PCIe support on SPEAr13XX SoCs.
 
+
+config PCI_KEYSTONE
+       bool "TI Keystone PCIe controller"
+       depends on ARCH_KEYSTONE
+       select PCIE_DW
+       select PCIEPORTBUS
+       help
+         Say Y here if you want to enable PCI controller support on Keystone
+         SoCs. The PCI controller on Keystone is based on Designware hardware
+         and therefore the driver re-uses the Designware core functions to
+         implement the driver.
+
+config PCIE_XILINX
+       bool "Xilinx AXI PCIe host bridge support"
+       depends on ARCH_ZYNQ
+       help
+         Say 'Y' here if you want kernel to support the Xilinx AXI PCIe
+         Host Bridge driver.
+
 endmenu
index d0e88f114ff93b5e016f7993f012b17ce4ff2631..182929cdbcd91766e971a26f7665e43e58b98aec 100644 (file)
@@ -8,3 +8,5 @@ obj-$(CONFIG_PCI_RCAR_GEN2) += pci-rcar-gen2.o
 obj-$(CONFIG_PCI_RCAR_GEN2_PCIE) += pcie-rcar.o
 obj-$(CONFIG_PCI_HOST_GENERIC) += pci-host-generic.o
 obj-$(CONFIG_PCIE_SPEAR13XX) += pcie-spear13xx.o
+obj-$(CONFIG_PCI_KEYSTONE) += pci-keystone-dw.o pci-keystone.o
+obj-$(CONFIG_PCIE_XILINX) += pcie-xilinx.o
index a568efaa331c57cc35144b08fe3f3b386118c926..233fe8a882649dfc4197b8ac58ff1dd0bdaed6e9 100644 (file)
@@ -49,6 +49,9 @@ struct imx6_pcie {
 
 /* PCIe Port Logic registers (memory-mapped) */
 #define PL_OFFSET 0x700
+#define PCIE_PL_PFLR (PL_OFFSET + 0x08)
+#define PCIE_PL_PFLR_LINK_STATE_MASK           (0x3f << 16)
+#define PCIE_PL_PFLR_FORCE_LINK                        (1 << 15)
 #define PCIE_PHY_DEBUG_R0 (PL_OFFSET + 0x28)
 #define PCIE_PHY_DEBUG_R1 (PL_OFFSET + 0x2c)
 #define PCIE_PHY_DEBUG_R1_XMLH_LINK_IN_TRAINING        (1 << 29)
@@ -214,6 +217,32 @@ static int imx6q_pcie_abort_handler(unsigned long addr,
 static int imx6_pcie_assert_core_reset(struct pcie_port *pp)
 {
        struct imx6_pcie *imx6_pcie = to_imx6_pcie(pp);
+       u32 val, gpr1, gpr12;
+
+       /*
+        * If the bootloader already enabled the link we need some special
+        * handling to get the core back into a state where it is safe to
+        * touch it for configuration.  As there is no dedicated reset signal
+        * wired up for MX6QDL, we need to manually force LTSSM into "detect"
+        * state before completely disabling LTSSM, which is a prerequisite
+        * for core configuration.
+        *
+        * If both LTSSM_ENABLE and REF_SSP_ENABLE are active we have a strong
+        * indication that the bootloader activated the link.
+        */
+       regmap_read(imx6_pcie->iomuxc_gpr, IOMUXC_GPR1, &gpr1);
+       regmap_read(imx6_pcie->iomuxc_gpr, IOMUXC_GPR12, &gpr12);
+
+       if ((gpr1 & IMX6Q_GPR1_PCIE_REF_CLK_EN) &&
+           (gpr12 & IMX6Q_GPR12_PCIE_CTL_2)) {
+               val = readl(pp->dbi_base + PCIE_PL_PFLR);
+               val &= ~PCIE_PL_PFLR_LINK_STATE_MASK;
+               val |= PCIE_PL_PFLR_FORCE_LINK;
+               writel(val, pp->dbi_base + PCIE_PL_PFLR);
+
+               regmap_update_bits(imx6_pcie->iomuxc_gpr, IOMUXC_GPR12,
+                               IMX6Q_GPR12_PCIE_CTL_2, 0 << 10);
+       }
 
        regmap_update_bits(imx6_pcie->iomuxc_gpr, IOMUXC_GPR1,
                        IMX6Q_GPR1_PCIE_TEST_PD, 1 << 18);
@@ -228,11 +257,6 @@ static int imx6_pcie_deassert_core_reset(struct pcie_port *pp)
        struct imx6_pcie *imx6_pcie = to_imx6_pcie(pp);
        int ret;
 
-       regmap_update_bits(imx6_pcie->iomuxc_gpr, IOMUXC_GPR1,
-                       IMX6Q_GPR1_PCIE_TEST_PD, 0 << 18);
-       regmap_update_bits(imx6_pcie->iomuxc_gpr, IOMUXC_GPR1,
-                       IMX6Q_GPR1_PCIE_REF_CLK_EN, 1 << 16);
-
        ret = clk_prepare_enable(imx6_pcie->pcie_phy);
        if (ret) {
                dev_err(pp->dev, "unable to enable pcie_phy clock\n");
@@ -254,6 +278,12 @@ static int imx6_pcie_deassert_core_reset(struct pcie_port *pp)
        /* allow the clocks to stabilize */
        usleep_range(200, 500);
 
+       /* power up core phy and enable ref clock */
+       regmap_update_bits(imx6_pcie->iomuxc_gpr, IOMUXC_GPR1,
+                       IMX6Q_GPR1_PCIE_TEST_PD, 0 << 18);
+       regmap_update_bits(imx6_pcie->iomuxc_gpr, IOMUXC_GPR1,
+                       IMX6Q_GPR1_PCIE_REF_CLK_EN, 1 << 16);
+
        /* Some boards don't have PCIe reset GPIO. */
        if (gpio_is_valid(imx6_pcie->reset_gpio)) {
                gpio_set_value(imx6_pcie->reset_gpio, 0);
@@ -589,6 +619,14 @@ static int __init imx6_pcie_probe(struct platform_device *pdev)
        return 0;
 }
 
+static void imx6_pcie_shutdown(struct platform_device *pdev)
+{
+       struct imx6_pcie *imx6_pcie = platform_get_drvdata(pdev);
+
+       /* bring down link, so bootloader gets clean state in case of reboot */
+       imx6_pcie_assert_core_reset(&imx6_pcie->pp);
+}
+
 static const struct of_device_id imx6_pcie_of_match[] = {
        { .compatible = "fsl,imx6q-pcie", },
        {},
@@ -601,6 +639,7 @@ static struct platform_driver imx6_pcie_driver = {
                .owner  = THIS_MODULE,
                .of_match_table = imx6_pcie_of_match,
        },
+       .shutdown = imx6_pcie_shutdown,
 };
 
 /* Freescale PCIe driver does not allow module unload */
@@ -609,7 +648,7 @@ static int __init imx6_pcie_init(void)
 {
        return platform_driver_probe(&imx6_pcie_driver, imx6_pcie_probe);
 }
-fs_initcall(imx6_pcie_init);
+module_init(imx6_pcie_init);
 
 MODULE_AUTHOR("Sean Cross <xobs@kosagi.com>");
 MODULE_DESCRIPTION("Freescale i.MX6 PCIe host controller driver");
diff --git a/drivers/pci/host/pci-keystone-dw.c b/drivers/pci/host/pci-keystone-dw.c
new file mode 100644 (file)
index 0000000..2434786
--- /dev/null
@@ -0,0 +1,516 @@
+/*
+ * Designware application register space functions for Keystone PCI controller
+ *
+ * Copyright (C) 2013-2014 Texas Instruments., Ltd.
+ *             http://www.ti.com
+ *
+ * Author: Murali Karicheri <m-karicheri2@ti.com>
+ *
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License version 2 as
+ * published by the Free Software Foundation.
+ */
+
+#include <linux/irq.h>
+#include <linux/irqdomain.h>
+#include <linux/module.h>
+#include <linux/of.h>
+#include <linux/of_pci.h>
+#include <linux/pci.h>
+#include <linux/platform_device.h>
+
+#include "pcie-designware.h"
+#include "pci-keystone.h"
+
+/* Application register defines */
+#define LTSSM_EN_VAL                   1
+#define LTSSM_STATE_MASK               0x1f
+#define LTSSM_STATE_L0                 0x11
+#define DBI_CS2_EN_VAL                 0x20
+#define OB_XLAT_EN_VAL                 2
+
+/* Application registers */
+#define CMD_STATUS                     0x004
+#define CFG_SETUP                      0x008
+#define OB_SIZE                                0x030
+#define CFG_PCIM_WIN_SZ_IDX            3
+#define CFG_PCIM_WIN_CNT               32
+#define SPACE0_REMOTE_CFG_OFFSET       0x1000
+#define OB_OFFSET_INDEX(n)             (0x200 + (8 * n))
+#define OB_OFFSET_HI(n)                        (0x204 + (8 * n))
+
+/* IRQ register defines */
+#define IRQ_EOI                                0x050
+#define IRQ_STATUS                     0x184
+#define IRQ_ENABLE_SET                 0x188
+#define IRQ_ENABLE_CLR                 0x18c
+
+#define MSI_IRQ                                0x054
+#define MSI0_IRQ_STATUS                        0x104
+#define MSI0_IRQ_ENABLE_SET            0x108
+#define MSI0_IRQ_ENABLE_CLR            0x10c
+#define IRQ_STATUS                     0x184
+#define MSI_IRQ_OFFSET                 4
+
+/* Config space registers */
+#define DEBUG0                         0x728
+
+#define to_keystone_pcie(x)    container_of(x, struct keystone_pcie, pp)
+
+static inline struct pcie_port *sys_to_pcie(struct pci_sys_data *sys)
+{
+       return sys->private_data;
+}
+
+static inline void update_reg_offset_bit_pos(u32 offset, u32 *reg_offset,
+                                            u32 *bit_pos)
+{
+       *reg_offset = offset % 8;
+       *bit_pos = offset >> 3;
+}
+
+u32 ks_dw_pcie_get_msi_data(struct pcie_port *pp)
+{
+       struct keystone_pcie *ks_pcie = to_keystone_pcie(pp);
+
+       return ks_pcie->app.start + MSI_IRQ;
+}
+
+void ks_dw_pcie_handle_msi_irq(struct keystone_pcie *ks_pcie, int offset)
+{
+       struct pcie_port *pp = &ks_pcie->pp;
+       u32 pending, vector;
+       int src, virq;
+
+       pending = readl(ks_pcie->va_app_base + MSI0_IRQ_STATUS + (offset << 4));
+
+       /*
+        * MSI0 status bit 0-3 shows vectors 0, 8, 16, 24, MSI1 status bit
+        * shows 1, 9, 17, 25 and so forth
+        */
+       for (src = 0; src < 4; src++) {
+               if (BIT(src) & pending) {
+                       vector = offset + (src << 3);
+                       virq = irq_linear_revmap(pp->irq_domain, vector);
+                       dev_dbg(pp->dev, "irq: bit %d, vector %d, virq %d\n",
+                               src, vector, virq);
+                       generic_handle_irq(virq);
+               }
+       }
+}
+
+static void ks_dw_pcie_msi_irq_ack(struct irq_data *d)
+{
+       u32 offset, reg_offset, bit_pos;
+       struct keystone_pcie *ks_pcie;
+       unsigned int irq = d->irq;
+       struct msi_desc *msi;
+       struct pcie_port *pp;
+
+       msi = irq_get_msi_desc(irq);
+       pp = sys_to_pcie(msi->dev->bus->sysdata);
+       ks_pcie = to_keystone_pcie(pp);
+       offset = irq - irq_linear_revmap(pp->irq_domain, 0);
+       update_reg_offset_bit_pos(offset, &reg_offset, &bit_pos);
+
+       writel(BIT(bit_pos),
+              ks_pcie->va_app_base + MSI0_IRQ_STATUS + (reg_offset << 4));
+       writel(reg_offset + MSI_IRQ_OFFSET, ks_pcie->va_app_base + IRQ_EOI);
+}
+
+void ks_dw_pcie_msi_set_irq(struct pcie_port *pp, int irq)
+{
+       u32 reg_offset, bit_pos;
+       struct keystone_pcie *ks_pcie = to_keystone_pcie(pp);
+
+       update_reg_offset_bit_pos(irq, &reg_offset, &bit_pos);
+       writel(BIT(bit_pos),
+              ks_pcie->va_app_base + MSI0_IRQ_ENABLE_SET + (reg_offset << 4));
+}
+
+void ks_dw_pcie_msi_clear_irq(struct pcie_port *pp, int irq)
+{
+       u32 reg_offset, bit_pos;
+       struct keystone_pcie *ks_pcie = to_keystone_pcie(pp);
+
+       update_reg_offset_bit_pos(irq, &reg_offset, &bit_pos);
+       writel(BIT(bit_pos),
+              ks_pcie->va_app_base + MSI0_IRQ_ENABLE_CLR + (reg_offset << 4));
+}
+
+static void ks_dw_pcie_msi_irq_mask(struct irq_data *d)
+{
+       struct keystone_pcie *ks_pcie;
+       unsigned int irq = d->irq;
+       struct msi_desc *msi;
+       struct pcie_port *pp;
+       u32 offset;
+
+       msi = irq_get_msi_desc(irq);
+       pp = sys_to_pcie(msi->dev->bus->sysdata);
+       ks_pcie = to_keystone_pcie(pp);
+       offset = irq - irq_linear_revmap(pp->irq_domain, 0);
+
+       /* Mask the end point if PVM implemented */
+       if (IS_ENABLED(CONFIG_PCI_MSI)) {
+               if (msi->msi_attrib.maskbit)
+                       mask_msi_irq(d);
+       }
+
+       ks_dw_pcie_msi_clear_irq(pp, offset);
+}
+
+static void ks_dw_pcie_msi_irq_unmask(struct irq_data *d)
+{
+       struct keystone_pcie *ks_pcie;
+       unsigned int irq = d->irq;
+       struct msi_desc *msi;
+       struct pcie_port *pp;
+       u32 offset;
+
+       msi = irq_get_msi_desc(irq);
+       pp = sys_to_pcie(msi->dev->bus->sysdata);
+       ks_pcie = to_keystone_pcie(pp);
+       offset = irq - irq_linear_revmap(pp->irq_domain, 0);
+
+       /* Mask the end point if PVM implemented */
+       if (IS_ENABLED(CONFIG_PCI_MSI)) {
+               if (msi->msi_attrib.maskbit)
+                       unmask_msi_irq(d);
+       }
+
+       ks_dw_pcie_msi_set_irq(pp, offset);
+}
+
+static struct irq_chip ks_dw_pcie_msi_irq_chip = {
+       .name = "Keystone-PCIe-MSI-IRQ",
+       .irq_ack = ks_dw_pcie_msi_irq_ack,
+       .irq_mask = ks_dw_pcie_msi_irq_mask,
+       .irq_unmask = ks_dw_pcie_msi_irq_unmask,
+};
+
+static int ks_dw_pcie_msi_map(struct irq_domain *domain, unsigned int irq,
+                             irq_hw_number_t hwirq)
+{
+       irq_set_chip_and_handler(irq, &ks_dw_pcie_msi_irq_chip,
+                                handle_level_irq);
+       irq_set_chip_data(irq, domain->host_data);
+       set_irq_flags(irq, IRQF_VALID);
+
+       return 0;
+}
+
+const struct irq_domain_ops ks_dw_pcie_msi_domain_ops = {
+       .map = ks_dw_pcie_msi_map,
+};
+
+int ks_dw_pcie_msi_host_init(struct pcie_port *pp, struct msi_chip *chip)
+{
+       struct keystone_pcie *ks_pcie = to_keystone_pcie(pp);
+       int i;
+
+       pp->irq_domain = irq_domain_add_linear(ks_pcie->msi_intc_np,
+                                       MAX_MSI_IRQS,
+                                       &ks_dw_pcie_msi_domain_ops,
+                                       chip);
+       if (!pp->irq_domain) {
+               dev_err(pp->dev, "irq domain init failed\n");
+               return -ENXIO;
+       }
+
+       for (i = 0; i < MAX_MSI_IRQS; i++)
+               irq_create_mapping(pp->irq_domain, i);
+
+       return 0;
+}
+
+void ks_dw_pcie_enable_legacy_irqs(struct keystone_pcie *ks_pcie)
+{
+       int i;
+
+       for (i = 0; i < MAX_LEGACY_IRQS; i++)
+               writel(0x1, ks_pcie->va_app_base + IRQ_ENABLE_SET + (i << 4));
+}
+
+void ks_dw_pcie_handle_legacy_irq(struct keystone_pcie *ks_pcie, int offset)
+{
+       struct pcie_port *pp = &ks_pcie->pp;
+       u32 pending;
+       int virq;
+
+       pending = readl(ks_pcie->va_app_base + IRQ_STATUS + (offset << 4));
+
+       if (BIT(0) & pending) {
+               virq = irq_linear_revmap(ks_pcie->legacy_irq_domain, offset);
+               dev_dbg(pp->dev, ": irq: irq_offset %d, virq %d\n", offset,
+                       virq);
+               generic_handle_irq(virq);
+       }
+
+       /* EOI the INTx interrupt */
+       writel(offset, ks_pcie->va_app_base + IRQ_EOI);
+}
+
+static void ks_dw_pcie_ack_legacy_irq(struct irq_data *d)
+{
+}
+
+static void ks_dw_pcie_mask_legacy_irq(struct irq_data *d)
+{
+}
+
+static void ks_dw_pcie_unmask_legacy_irq(struct irq_data *d)
+{
+}
+
+static struct irq_chip ks_dw_pcie_legacy_irq_chip = {
+       .name = "Keystone-PCI-Legacy-IRQ",
+       .irq_ack = ks_dw_pcie_ack_legacy_irq,
+       .irq_mask = ks_dw_pcie_mask_legacy_irq,
+       .irq_unmask = ks_dw_pcie_unmask_legacy_irq,
+};
+
+static int ks_dw_pcie_init_legacy_irq_map(struct irq_domain *d,
+                               unsigned int irq, irq_hw_number_t hw_irq)
+{
+       irq_set_chip_and_handler(irq, &ks_dw_pcie_legacy_irq_chip,
+                                handle_level_irq);
+       irq_set_chip_data(irq, d->host_data);
+       set_irq_flags(irq, IRQF_VALID);
+
+       return 0;
+}
+
+static const struct irq_domain_ops ks_dw_pcie_legacy_irq_domain_ops = {
+       .map = ks_dw_pcie_init_legacy_irq_map,
+       .xlate = irq_domain_xlate_onetwocell,
+};
+
+/**
+ * ks_dw_pcie_set_dbi_mode() - Set DBI mode to access overlaid BAR mask
+ * registers
+ *
+ * Since modification of dbi_cs2 involves different clock domain, read the
+ * status back to ensure the transition is complete.
+ */
+static void ks_dw_pcie_set_dbi_mode(void __iomem *reg_virt)
+{
+       u32 val;
+
+       writel(DBI_CS2_EN_VAL | readl(reg_virt + CMD_STATUS),
+              reg_virt + CMD_STATUS);
+
+       do {
+               val = readl(reg_virt + CMD_STATUS);
+       } while (!(val & DBI_CS2_EN_VAL));
+}
+
+/**
+ * ks_dw_pcie_clear_dbi_mode() - Disable DBI mode
+ *
+ * Since modification of dbi_cs2 involves different clock domain, read the
+ * status back to ensure the transition is complete.
+ */
+static void ks_dw_pcie_clear_dbi_mode(void __iomem *reg_virt)
+{
+       u32 val;
+
+       writel(~DBI_CS2_EN_VAL & readl(reg_virt + CMD_STATUS),
+                    reg_virt + CMD_STATUS);
+
+       do {
+               val = readl(reg_virt + CMD_STATUS);
+       } while (val & DBI_CS2_EN_VAL);
+}
+
+void ks_dw_pcie_setup_rc_app_regs(struct keystone_pcie *ks_pcie)
+{
+       struct pcie_port *pp = &ks_pcie->pp;
+       u32 start = pp->mem.start, end = pp->mem.end;
+       int i, tr_size;
+
+       /* Disable BARs for inbound access */
+       ks_dw_pcie_set_dbi_mode(ks_pcie->va_app_base);
+       writel(0, pp->dbi_base + PCI_BASE_ADDRESS_0);
+       writel(0, pp->dbi_base + PCI_BASE_ADDRESS_1);
+       ks_dw_pcie_clear_dbi_mode(ks_pcie->va_app_base);
+
+       /* Set outbound translation size per window division */
+       writel(CFG_PCIM_WIN_SZ_IDX & 0x7, ks_pcie->va_app_base + OB_SIZE);
+
+       tr_size = (1 << (CFG_PCIM_WIN_SZ_IDX & 0x7)) * SZ_1M;
+
+       /* Using Direct 1:1 mapping of RC <-> PCI memory space */
+       for (i = 0; (i < CFG_PCIM_WIN_CNT) && (start < end); i++) {
+               writel(start | 1, ks_pcie->va_app_base + OB_OFFSET_INDEX(i));
+               writel(0, ks_pcie->va_app_base + OB_OFFSET_HI(i));
+               start += tr_size;
+       }
+
+       /* Enable OB translation */
+       writel(OB_XLAT_EN_VAL | readl(ks_pcie->va_app_base + CMD_STATUS),
+              ks_pcie->va_app_base + CMD_STATUS);
+}
+
+/**
+ * ks_pcie_cfg_setup() - Set up configuration space address for a device
+ *
+ * @ks_pcie: ptr to keystone_pcie structure
+ * @bus: Bus number the device is residing on
+ * @devfn: device, function number info
+ *
+ * Forms and returns the address of configuration space mapped in PCIESS
+ * address space 0.  Also configures CFG_SETUP for remote configuration space
+ * access.
+ *
+ * The address space has two regions to access configuration - local and remote.
+ * We access local region for bus 0 (as RC is attached on bus 0) and remote
+ * region for others with TYPE 1 access when bus > 1.  As for device on bus = 1,
+ * we will do TYPE 0 access as it will be on our secondary bus (logical).
+ * CFG_SETUP is needed only for remote configuration access.
+ */
+static void __iomem *ks_pcie_cfg_setup(struct keystone_pcie *ks_pcie, u8 bus,
+                                      unsigned int devfn)
+{
+       u8 device = PCI_SLOT(devfn), function = PCI_FUNC(devfn);
+       struct pcie_port *pp = &ks_pcie->pp;
+       u32 regval;
+
+       if (bus == 0)
+               return pp->dbi_base;
+
+       regval = (bus << 16) | (device << 8) | function;
+
+       /*
+        * Since Bus#1 will be a virtual bus, we need to have TYPE0
+        * access only.
+        * TYPE 1
+        */
+       if (bus != 1)
+               regval |= BIT(24);
+
+       writel(regval, ks_pcie->va_app_base + CFG_SETUP);
+       return pp->va_cfg0_base;
+}
+
+int ks_dw_pcie_rd_other_conf(struct pcie_port *pp, struct pci_bus *bus,
+                            unsigned int devfn, int where, int size, u32 *val)
+{
+       struct keystone_pcie *ks_pcie = to_keystone_pcie(pp);
+       u8 bus_num = bus->number;
+       void __iomem *addr;
+
+       addr = ks_pcie_cfg_setup(ks_pcie, bus_num, devfn);
+
+       return dw_pcie_cfg_read(addr + (where & ~0x3), where, size, val);
+}
+
+int ks_dw_pcie_wr_other_conf(struct pcie_port *pp, struct pci_bus *bus,
+                            unsigned int devfn, int where, int size, u32 val)
+{
+       struct keystone_pcie *ks_pcie = to_keystone_pcie(pp);
+       u8 bus_num = bus->number;
+       void __iomem *addr;
+
+       addr = ks_pcie_cfg_setup(ks_pcie, bus_num, devfn);
+
+       return dw_pcie_cfg_write(addr + (where & ~0x3), where, size, val);
+}
+
+/**
+ * ks_dw_pcie_v3_65_scan_bus() - keystone scan_bus post initialization
+ *
+ * This sets BAR0 to enable inbound access for MSI_IRQ register
+ */
+void ks_dw_pcie_v3_65_scan_bus(struct pcie_port *pp)
+{
+       struct keystone_pcie *ks_pcie = to_keystone_pcie(pp);
+
+       /* Configure and set up BAR0 */
+       ks_dw_pcie_set_dbi_mode(ks_pcie->va_app_base);
+
+       /* Enable BAR0 */
+       writel(1, pp->dbi_base + PCI_BASE_ADDRESS_0);
+       writel(SZ_4K - 1, pp->dbi_base + PCI_BASE_ADDRESS_0);
+
+       ks_dw_pcie_clear_dbi_mode(ks_pcie->va_app_base);
+
+        /*
+         * For BAR0, just setting bus address for inbound writes (MSI) should
+         * be sufficient.  Use physical address to avoid any conflicts.
+         */
+       writel(ks_pcie->app.start, pp->dbi_base + PCI_BASE_ADDRESS_0);
+}
+
+/**
+ * ks_dw_pcie_link_up() - Check if link up
+ */
+int ks_dw_pcie_link_up(struct pcie_port *pp)
+{
+       u32 val = readl(pp->dbi_base + DEBUG0);
+
+       return (val & LTSSM_STATE_MASK) == LTSSM_STATE_L0;
+}
+
+void ks_dw_pcie_initiate_link_train(struct keystone_pcie *ks_pcie)
+{
+       u32 val;
+
+       /* Disable Link training */
+       val = readl(ks_pcie->va_app_base + CMD_STATUS);
+       val &= ~LTSSM_EN_VAL;
+       writel(LTSSM_EN_VAL | val,  ks_pcie->va_app_base + CMD_STATUS);
+
+       /* Initiate Link Training */
+       val = readl(ks_pcie->va_app_base + CMD_STATUS);
+       writel(LTSSM_EN_VAL | val,  ks_pcie->va_app_base + CMD_STATUS);
+}
+
+/**
+ * ks_dw_pcie_host_init() - initialize host for v3_65 dw hardware
+ *
+ * Ioremap the register resources, initialize legacy irq domain
+ * and call dw_pcie_v3_65_host_init() API to initialize the Keystone
+ * PCI host controller.
+ */
+int __init ks_dw_pcie_host_init(struct keystone_pcie *ks_pcie,
+                               struct device_node *msi_intc_np)
+{
+       struct pcie_port *pp = &ks_pcie->pp;
+       struct platform_device *pdev = to_platform_device(pp->dev);
+       struct resource *res;
+
+       /* Index 0 is the config reg. space address */
+       res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
+       pp->dbi_base = devm_ioremap_resource(pp->dev, res);
+       if (IS_ERR(pp->dbi_base))
+               return PTR_ERR(pp->dbi_base);
+
+       /*
+        * We set these same and is used in pcie rd/wr_other_conf
+        * functions
+        */
+       pp->va_cfg0_base = pp->dbi_base + SPACE0_REMOTE_CFG_OFFSET;
+       pp->va_cfg1_base = pp->va_cfg0_base;
+
+       /* Index 1 is the application reg. space address */
+       res = platform_get_resource(pdev, IORESOURCE_MEM, 1);
+       ks_pcie->app = *res;
+       ks_pcie->va_app_base = devm_ioremap_resource(pp->dev, res);
+       if (IS_ERR(ks_pcie->va_app_base))
+               return PTR_ERR(ks_pcie->va_app_base);
+
+       /* Create legacy IRQ domain */
+       ks_pcie->legacy_irq_domain =
+                       irq_domain_add_linear(ks_pcie->legacy_intc_np,
+                                       MAX_LEGACY_IRQS,
+                                       &ks_dw_pcie_legacy_irq_domain_ops,
+                                       NULL);
+       if (!ks_pcie->legacy_irq_domain) {
+               dev_err(pp->dev, "Failed to add irq domain for legacy irqs\n");
+               return -EINVAL;
+       }
+
+       return dw_pcie_host_init(pp);
+}
diff --git a/drivers/pci/host/pci-keystone.c b/drivers/pci/host/pci-keystone.c
new file mode 100644 (file)
index 0000000..c956083
--- /dev/null
@@ -0,0 +1,415 @@
+/*
+ * PCIe host controller driver for Texas Instruments Keystone SoCs
+ *
+ * Copyright (C) 2013-2014 Texas Instruments., Ltd.
+ *             http://www.ti.com
+ *
+ * Author: Murali Karicheri <m-karicheri2@ti.com>
+ * Implementation based on pci-exynos.c and pcie-designware.c
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License version 2 as
+ * published by the Free Software Foundation.
+ */
+
+#include <linux/irqchip/chained_irq.h>
+#include <linux/clk.h>
+#include <linux/delay.h>
+#include <linux/irqdomain.h>
+#include <linux/module.h>
+#include <linux/msi.h>
+#include <linux/of_irq.h>
+#include <linux/of.h>
+#include <linux/of_pci.h>
+#include <linux/platform_device.h>
+#include <linux/phy/phy.h>
+#include <linux/resource.h>
+#include <linux/signal.h>
+
+#include "pcie-designware.h"
+#include "pci-keystone.h"
+
+#define DRIVER_NAME    "keystone-pcie"
+
+/* driver specific constants */
+#define MAX_MSI_HOST_IRQS              8
+#define MAX_LEGACY_HOST_IRQS           4
+
+/* DEV_STAT_CTRL */
+#define PCIE_CAP_BASE          0x70
+
+/* PCIE controller device IDs */
+#define PCIE_RC_K2HK           0xb008
+#define PCIE_RC_K2E            0xb009
+#define PCIE_RC_K2L            0xb00a
+
+#define to_keystone_pcie(x)    container_of(x, struct keystone_pcie, pp)
+
+static void quirk_limit_mrrs(struct pci_dev *dev)
+{
+       struct pci_bus *bus = dev->bus;
+       struct pci_dev *bridge = bus->self;
+       static const struct pci_device_id rc_pci_devids[] = {
+               { PCI_DEVICE(PCI_VENDOR_ID_TI, PCIE_RC_K2HK),
+                .class = PCI_CLASS_BRIDGE_PCI << 8, .class_mask = ~0, },
+               { PCI_DEVICE(PCI_VENDOR_ID_TI, PCIE_RC_K2E),
+                .class = PCI_CLASS_BRIDGE_PCI << 8, .class_mask = ~0, },
+               { PCI_DEVICE(PCI_VENDOR_ID_TI, PCIE_RC_K2L),
+                .class = PCI_CLASS_BRIDGE_PCI << 8, .class_mask = ~0, },
+               { 0, },
+       };
+
+       if (pci_is_root_bus(bus))
+               return;
+
+       /* look for the host bridge */
+       while (!pci_is_root_bus(bus)) {
+               bridge = bus->self;
+               bus = bus->parent;
+       }
+
+       if (bridge) {
+               /*
+                * Keystone PCI controller has a h/w limitation of
+                * 256 bytes maximum read request size.  It can't handle
+                * anything higher than this.  So force this limit on
+                * all downstream devices.
+                */
+               if (pci_match_id(rc_pci_devids, bridge)) {
+                       if (pcie_get_readrq(dev) > 256) {
+                               dev_info(&dev->dev, "limiting MRRS to 256\n");
+                               pcie_set_readrq(dev, 256);
+                       }
+               }
+       }
+}
+DECLARE_PCI_FIXUP_ENABLE(PCI_ANY_ID, PCI_ANY_ID, quirk_limit_mrrs);
+
+static int ks_pcie_establish_link(struct keystone_pcie *ks_pcie)
+{
+       struct pcie_port *pp = &ks_pcie->pp;
+       int count = 200;
+
+       dw_pcie_setup_rc(pp);
+
+       if (dw_pcie_link_up(pp)) {
+               dev_err(pp->dev, "Link already up\n");
+               return 0;
+       }
+
+       ks_dw_pcie_initiate_link_train(ks_pcie);
+       /* check if the link is up or not */
+       while (!dw_pcie_link_up(pp)) {
+               usleep_range(100, 1000);
+               if (--count) {
+                       ks_dw_pcie_initiate_link_train(ks_pcie);
+                       continue;
+               }
+               dev_err(pp->dev, "phy link never came up\n");
+               return -EINVAL;
+       }
+
+       return 0;
+}
+
+static void ks_pcie_msi_irq_handler(unsigned int irq, struct irq_desc *desc)
+{
+       struct keystone_pcie *ks_pcie = irq_desc_get_handler_data(desc);
+       u32 offset = irq - ks_pcie->msi_host_irqs[0];
+       struct pcie_port *pp = &ks_pcie->pp;
+       struct irq_chip *chip = irq_desc_get_chip(desc);
+
+       dev_dbg(pp->dev, "ks_pci_msi_irq_handler, irq %d\n", irq);
+
+       /*
+        * The chained irq handler installation would have replaced normal
+        * interrupt driver handler so we need to take care of mask/unmask and
+        * ack operation.
+        */
+       chained_irq_enter(chip, desc);
+       ks_dw_pcie_handle_msi_irq(ks_pcie, offset);
+       chained_irq_exit(chip, desc);
+}
+
+/**
+ * ks_pcie_legacy_irq_handler() - Handle legacy interrupt
+ * @irq: IRQ line for legacy interrupts
+ * @desc: Pointer to irq descriptor
+ *
+ * Traverse through pending legacy interrupts and invoke handler for each. Also
+ * takes care of interrupt controller level mask/ack operation.
+ */
+static void ks_pcie_legacy_irq_handler(unsigned int irq, struct irq_desc *desc)
+{
+       struct keystone_pcie *ks_pcie = irq_desc_get_handler_data(desc);
+       struct pcie_port *pp = &ks_pcie->pp;
+       u32 irq_offset = irq - ks_pcie->legacy_host_irqs[0];
+       struct irq_chip *chip = irq_desc_get_chip(desc);
+
+       dev_dbg(pp->dev, ": Handling legacy irq %d\n", irq);
+
+       /*
+        * The chained irq handler installation would have replaced normal
+        * interrupt driver handler so we need to take care of mask/unmask and
+        * ack operation.
+        */
+       chained_irq_enter(chip, desc);
+       ks_dw_pcie_handle_legacy_irq(ks_pcie, irq_offset);
+       chained_irq_exit(chip, desc);
+}
+
+static int ks_pcie_get_irq_controller_info(struct keystone_pcie *ks_pcie,
+                                          char *controller, int *num_irqs)
+{
+       int temp, max_host_irqs, legacy = 1, *host_irqs, ret = -EINVAL;
+       struct device *dev = ks_pcie->pp.dev;
+       struct device_node *np_pcie = dev->of_node, **np_temp;
+
+       if (!strcmp(controller, "msi-interrupt-controller"))
+               legacy = 0;
+
+       if (legacy) {
+               np_temp = &ks_pcie->legacy_intc_np;
+               max_host_irqs = MAX_LEGACY_HOST_IRQS;
+               host_irqs = &ks_pcie->legacy_host_irqs[0];
+       } else {
+               np_temp = &ks_pcie->msi_intc_np;
+               max_host_irqs = MAX_MSI_HOST_IRQS;
+               host_irqs =  &ks_pcie->msi_host_irqs[0];
+       }
+
+       /* interrupt controller is in a child node */
+       *np_temp = of_find_node_by_name(np_pcie, controller);
+       if (!(*np_temp)) {
+               dev_err(dev, "Node for %s is absent\n", controller);
+               goto out;
+       }
+       temp = of_irq_count(*np_temp);
+       if (!temp)
+               goto out;
+       if (temp > max_host_irqs)
+               dev_warn(dev, "Too many %s interrupts defined %u\n",
+                       (legacy ? "legacy" : "MSI"), temp);
+
+       /*
+        * support upto max_host_irqs. In dt from index 0 to 3 (legacy) or 0 to
+        * 7 (MSI)
+        */
+       for (temp = 0; temp < max_host_irqs; temp++) {
+               host_irqs[temp] = irq_of_parse_and_map(*np_temp, temp);
+               if (host_irqs[temp] < 0)
+                       break;
+       }
+       if (temp) {
+               *num_irqs = temp;
+               ret = 0;
+       }
+out:
+       return ret;
+}
+
+static void ks_pcie_setup_interrupts(struct keystone_pcie *ks_pcie)
+{
+       int i;
+
+       /* Legacy IRQ */
+       for (i = 0; i < ks_pcie->num_legacy_host_irqs; i++) {
+               irq_set_handler_data(ks_pcie->legacy_host_irqs[i], ks_pcie);
+               irq_set_chained_handler(ks_pcie->legacy_host_irqs[i],
+                                       ks_pcie_legacy_irq_handler);
+       }
+       ks_dw_pcie_enable_legacy_irqs(ks_pcie);
+
+       /* MSI IRQ */
+       if (IS_ENABLED(CONFIG_PCI_MSI)) {
+               for (i = 0; i < ks_pcie->num_msi_host_irqs; i++) {
+                       irq_set_chained_handler(ks_pcie->msi_host_irqs[i],
+                                               ks_pcie_msi_irq_handler);
+                       irq_set_handler_data(ks_pcie->msi_host_irqs[i],
+                                            ks_pcie);
+               }
+       }
+}
+
+/*
+ * When a PCI device does not exist during config cycles, keystone host gets a
+ * bus error instead of returning 0xffffffff. This handler always returns 0
+ * for this kind of faults.
+ */
+static int keystone_pcie_fault(unsigned long addr, unsigned int fsr,
+                               struct pt_regs *regs)
+{
+       unsigned long instr = *(unsigned long *) instruction_pointer(regs);
+
+       if ((instr & 0x0e100090) == 0x00100090) {
+               int reg = (instr >> 12) & 15;
+
+               regs->uregs[reg] = -1;
+               regs->ARM_pc += 4;
+       }
+
+       return 0;
+}
+
+static void __init ks_pcie_host_init(struct pcie_port *pp)
+{
+       struct keystone_pcie *ks_pcie = to_keystone_pcie(pp);
+       u32 val;
+
+       ks_pcie_establish_link(ks_pcie);
+       ks_dw_pcie_setup_rc_app_regs(ks_pcie);
+       ks_pcie_setup_interrupts(ks_pcie);
+       writew(PCI_IO_RANGE_TYPE_32 | (PCI_IO_RANGE_TYPE_32 << 8),
+                       pp->dbi_base + PCI_IO_BASE);
+
+       /* update the Vendor ID */
+       writew(ks_pcie->device_id, pp->dbi_base + PCI_DEVICE_ID);
+
+       /* update the DEV_STAT_CTRL to publish right mrrs */
+       val = readl(pp->dbi_base + PCIE_CAP_BASE + PCI_EXP_DEVCTL);
+       val &= ~PCI_EXP_DEVCTL_READRQ;
+       /* set the mrrs to 256 bytes */
+       val |= BIT(12);
+       writel(val, pp->dbi_base + PCIE_CAP_BASE + PCI_EXP_DEVCTL);
+
+       /*
+        * PCIe access errors that result into OCP errors are caught by ARM as
+        * "External aborts"
+        */
+       hook_fault_code(17, keystone_pcie_fault, SIGBUS, 0,
+                       "Asynchronous external abort");
+}
+
+static struct pcie_host_ops keystone_pcie_host_ops = {
+       .rd_other_conf = ks_dw_pcie_rd_other_conf,
+       .wr_other_conf = ks_dw_pcie_wr_other_conf,
+       .link_up = ks_dw_pcie_link_up,
+       .host_init = ks_pcie_host_init,
+       .msi_set_irq = ks_dw_pcie_msi_set_irq,
+       .msi_clear_irq = ks_dw_pcie_msi_clear_irq,
+       .get_msi_data = ks_dw_pcie_get_msi_data,
+       .msi_host_init = ks_dw_pcie_msi_host_init,
+       .scan_bus = ks_dw_pcie_v3_65_scan_bus,
+};
+
+static int __init ks_add_pcie_port(struct keystone_pcie *ks_pcie,
+                        struct platform_device *pdev)
+{
+       struct pcie_port *pp = &ks_pcie->pp;
+       int ret;
+
+       ret = ks_pcie_get_irq_controller_info(ks_pcie,
+                                       "legacy-interrupt-controller",
+                                       &ks_pcie->num_legacy_host_irqs);
+       if (ret)
+               return ret;
+
+       if (IS_ENABLED(CONFIG_PCI_MSI)) {
+               ret = ks_pcie_get_irq_controller_info(ks_pcie,
+                                               "msi-interrupt-controller",
+                                               &ks_pcie->num_msi_host_irqs);
+               if (ret)
+                       return ret;
+       }
+
+       pp->root_bus_nr = -1;
+       pp->ops = &keystone_pcie_host_ops;
+       ret = ks_dw_pcie_host_init(ks_pcie, ks_pcie->msi_intc_np);
+       if (ret) {
+               dev_err(&pdev->dev, "failed to initialize host\n");
+               return ret;
+       }
+
+       return ret;
+}
+
+static const struct of_device_id ks_pcie_of_match[] = {
+       {
+               .type = "pci",
+               .compatible = "ti,keystone-pcie",
+       },
+       { },
+};
+MODULE_DEVICE_TABLE(of, ks_pcie_of_match);
+
+static int __exit ks_pcie_remove(struct platform_device *pdev)
+{
+       struct keystone_pcie *ks_pcie = platform_get_drvdata(pdev);
+
+       clk_disable_unprepare(ks_pcie->clk);
+
+       return 0;
+}
+
+static int __init ks_pcie_probe(struct platform_device *pdev)
+{
+       struct device *dev = &pdev->dev;
+       struct keystone_pcie *ks_pcie;
+       struct pcie_port *pp;
+       struct resource *res;
+       void __iomem *reg_p;
+       struct phy *phy;
+       int ret = 0;
+
+       ks_pcie = devm_kzalloc(&pdev->dev, sizeof(*ks_pcie),
+                               GFP_KERNEL);
+       if (!ks_pcie) {
+               dev_err(dev, "no memory for keystone pcie\n");
+               return -ENOMEM;
+       }
+       pp = &ks_pcie->pp;
+
+       /* initialize SerDes Phy if present */
+       phy = devm_phy_get(dev, "pcie-phy");
+       if (!IS_ERR_OR_NULL(phy)) {
+               ret = phy_init(phy);
+               if (ret < 0)
+                       return ret;
+       }
+
+       /* index 2 is to read PCI DEVICE_ID */
+       res = platform_get_resource(pdev, IORESOURCE_MEM, 2);
+       reg_p = devm_ioremap_resource(dev, res);
+       if (IS_ERR(reg_p))
+               return PTR_ERR(reg_p);
+       ks_pcie->device_id = readl(reg_p) >> 16;
+       devm_iounmap(dev, reg_p);
+       devm_release_mem_region(dev, res->start, resource_size(res));
+
+       pp->dev = dev;
+       platform_set_drvdata(pdev, ks_pcie);
+       ks_pcie->clk = devm_clk_get(dev, "pcie");
+       if (IS_ERR(ks_pcie->clk)) {
+               dev_err(dev, "Failed to get pcie rc clock\n");
+               return PTR_ERR(ks_pcie->clk);
+       }
+       ret = clk_prepare_enable(ks_pcie->clk);
+       if (ret)
+               return ret;
+
+       ret = ks_add_pcie_port(ks_pcie, pdev);
+       if (ret < 0)
+               goto fail_clk;
+
+       return 0;
+fail_clk:
+       clk_disable_unprepare(ks_pcie->clk);
+
+       return ret;
+}
+
+static struct platform_driver ks_pcie_driver __refdata = {
+       .probe  = ks_pcie_probe,
+       .remove = __exit_p(ks_pcie_remove),
+       .driver = {
+               .name   = "keystone-pcie",
+               .owner  = THIS_MODULE,
+               .of_match_table = of_match_ptr(ks_pcie_of_match),
+       },
+};
+
+module_platform_driver(ks_pcie_driver);
+
+MODULE_AUTHOR("Murali Karicheri <m-karicheri2@ti.com>");
+MODULE_DESCRIPTION("Keystone PCIe host controller driver");
+MODULE_LICENSE("GPL v2");
diff --git a/drivers/pci/host/pci-keystone.h b/drivers/pci/host/pci-keystone.h
new file mode 100644 (file)
index 0000000..80cfa8e
--- /dev/null
@@ -0,0 +1,58 @@
+/*
+ * Keystone PCI Controller's common includes
+ *
+ * Copyright (C) 2013-2014 Texas Instruments., Ltd.
+ *             http://www.ti.com
+ *
+ * Author: Murali Karicheri <m-karicheri2@ti.com>
+ *
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License version 2 as
+ * published by the Free Software Foundation.
+ */
+
+#define MAX_LEGACY_IRQS                        4
+#define MAX_MSI_HOST_IRQS              8
+#define MAX_LEGACY_HOST_IRQS           4
+
+struct keystone_pcie {
+       struct  clk             *clk;
+       struct  pcie_port       pp;
+       /* PCI Device ID */
+       u32                     device_id;
+       int                     num_legacy_host_irqs;
+       int                     legacy_host_irqs[MAX_LEGACY_HOST_IRQS];
+       struct                  device_node *legacy_intc_np;
+
+       int                     num_msi_host_irqs;
+       int                     msi_host_irqs[MAX_MSI_HOST_IRQS];
+       struct                  device_node *msi_intc_np;
+       struct irq_domain       *legacy_irq_domain;
+
+       /* Application register space */
+       void __iomem            *va_app_base;
+       struct resource         app;
+};
+
+/* Keystone DW specific MSI controller APIs/definitions */
+void ks_dw_pcie_handle_msi_irq(struct keystone_pcie *ks_pcie, int offset);
+u32 ks_dw_pcie_get_msi_data(struct pcie_port *pp);
+
+/* Keystone specific PCI controller APIs */
+void ks_dw_pcie_enable_legacy_irqs(struct keystone_pcie *ks_pcie);
+void ks_dw_pcie_handle_legacy_irq(struct keystone_pcie *ks_pcie, int offset);
+int  ks_dw_pcie_host_init(struct keystone_pcie *ks_pcie,
+                       struct device_node *msi_intc_np);
+int ks_dw_pcie_wr_other_conf(struct pcie_port *pp, struct pci_bus *bus,
+               unsigned int devfn, int where, int size, u32 val);
+int ks_dw_pcie_rd_other_conf(struct pcie_port *pp, struct pci_bus *bus,
+               unsigned int devfn, int where, int size, u32 *val);
+void ks_dw_pcie_setup_rc_app_regs(struct keystone_pcie *ks_pcie);
+int ks_dw_pcie_link_up(struct pcie_port *pp);
+void ks_dw_pcie_initiate_link_train(struct keystone_pcie *ks_pcie);
+void ks_dw_pcie_msi_set_irq(struct pcie_port *pp, int irq);
+void ks_dw_pcie_msi_clear_irq(struct pcie_port *pp, int irq);
+void ks_dw_pcie_v3_65_scan_bus(struct pcie_port *pp);
+int ks_dw_pcie_msi_host_init(struct pcie_port *pp,
+               struct msi_chip *chip);
index a8c6f1a92e0f39435780cc9b3bfb96842ebb531b..b1315e197ffba3701fee63a68828895174152b8e 100644 (file)
@@ -873,7 +873,7 @@ static int mvebu_get_tgt_attr(struct device_node *np, int devfn,
        rangesz = pna + na + ns;
        nranges = rlen / sizeof(__be32) / rangesz;
 
-       for (i = 0; i < nranges; i++) {
+       for (i = 0; i < nranges; i++, range += rangesz) {
                u32 flags = of_read_number(range, 1);
                u32 slot = of_read_number(range + 1, 1);
                u64 cpuaddr = of_read_number(range + na, pna);
@@ -883,14 +883,14 @@ static int mvebu_get_tgt_attr(struct device_node *np, int devfn,
                        rtype = IORESOURCE_IO;
                else if (DT_FLAGS_TO_TYPE(flags) == DT_TYPE_MEM32)
                        rtype = IORESOURCE_MEM;
+               else
+                       continue;
 
                if (slot == PCI_SLOT(devfn) && type == rtype) {
                        *tgt = DT_CPUADDR_TO_TARGET(cpuaddr);
                        *attr = DT_CPUADDR_TO_ATTR(cpuaddr);
                        return 0;
                }
-
-               range += rangesz;
        }
 
        return -ENOENT;
index 0fb0fdb223d5174d6a2e10e750a74194d27a4db6..79a30476036c5f7a1b68fbc266b4e0171a1ba03d 100644 (file)
@@ -38,6 +38,7 @@
 #include <linux/of_pci.h>
 #include <linux/of_platform.h>
 #include <linux/pci.h>
+#include <linux/phy/phy.h>
 #include <linux/platform_device.h>
 #include <linux/reset.h>
 #include <linux/sizes.h>
 
 #define AFI_INTR_CODE                  0xb8
 #define  AFI_INTR_CODE_MASK            0xf
-#define  AFI_INTR_AXI_SLAVE_ERROR      1
-#define  AFI_INTR_AXI_DECODE_ERROR     2
+#define  AFI_INTR_INI_SLAVE_ERROR      1
+#define  AFI_INTR_INI_DECODE_ERROR     2
 #define  AFI_INTR_TARGET_ABORT         3
 #define  AFI_INTR_MASTER_ABORT         4
 #define  AFI_INTR_INVALID_WRITE                5
 #define  AFI_INTR_LEGACY               6
 #define  AFI_INTR_FPCI_DECODE_ERROR    7
+#define  AFI_INTR_AXI_DECODE_ERROR     8
+#define  AFI_INTR_FPCI_TIMEOUT         9
+#define  AFI_INTR_PE_PRSNT_SENSE       10
+#define  AFI_INTR_PE_CLKREQ_SENSE      11
+#define  AFI_INTR_CLKCLAMP_SENSE       12
+#define  AFI_INTR_RDY4PD_SENSE         13
+#define  AFI_INTR_P2P_ERROR            14
 
 #define AFI_INTR_SIGNATURE     0xbc
 #define AFI_UPPER_FPCI_ADDRESS 0xc0
 #define  AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_MASK      (0xf << 20)
 #define  AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_SINGLE    (0x0 << 20)
 #define  AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_420       (0x0 << 20)
+#define  AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_X2_X1     (0x0 << 20)
 #define  AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_DUAL      (0x1 << 20)
 #define  AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_222       (0x1 << 20)
+#define  AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_X4_X1     (0x1 << 20)
 #define  AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_411       (0x2 << 20)
 
 #define AFI_FUSE                       0x104
 #define  AFI_PEX_CTRL_RST              (1 << 0)
 #define  AFI_PEX_CTRL_CLKREQ_EN                (1 << 1)
 #define  AFI_PEX_CTRL_REFCLK_EN                (1 << 3)
+#define  AFI_PEX_CTRL_OVERRIDE_EN      (1 << 4)
+
+#define AFI_PLLE_CONTROL               0x160
+#define  AFI_PLLE_CONTROL_BYPASS_PADS2PLLE_CONTROL (1 << 9)
+#define  AFI_PLLE_CONTROL_PADS2PLLE_CONTROL_EN (1 << 1)
 
 #define AFI_PEXBIAS_CTRL_0             0x168
 
 #define RP_VEND_XP     0x00000F00
 #define  RP_VEND_XP_DL_UP      (1 << 30)
 
+#define RP_PRIV_MISC   0x00000FE0
+#define  RP_PRIV_MISC_PRSNT_MAP_EP_PRSNT (0xE << 0)
+#define  RP_PRIV_MISC_PRSNT_MAP_EP_ABSNT (0xF << 0)
+
 #define RP_LINK_CONTROL_STATUS                 0x00000090
 #define  RP_LINK_CONTROL_STATUS_DL_LINK_ACTIVE 0x20000000
 #define  RP_LINK_CONTROL_STATUS_LINKSTAT_MASK  0x3fff0000
 
 #define PADS_REFCLK_CFG0                       0x000000C8
 #define PADS_REFCLK_CFG1                       0x000000CC
+#define PADS_REFCLK_BIAS                       0x000000D0
 
 /*
  * Fields in PADS_REFCLK_CFG*. Those registers form an array of 16-bit
@@ -236,6 +256,7 @@ struct tegra_pcie_soc_data {
        bool has_pex_bias_ctrl;
        bool has_intr_prsnt_sense;
        bool has_cml_clk;
+       bool has_gen2;
 };
 
 static inline struct tegra_msi *to_tegra_msi(struct msi_chip *chip)
@@ -253,6 +274,7 @@ struct tegra_pcie {
        struct list_head buses;
        struct resource *cs;
 
+       struct resource all;
        struct resource io;
        struct resource mem;
        struct resource prefetch;
@@ -267,6 +289,8 @@ struct tegra_pcie {
        struct reset_control *afi_rst;
        struct reset_control *pcie_xrst;
 
+       struct phy *phy;
+
        struct tegra_msi msi;
 
        struct list_head ports;
@@ -382,7 +406,7 @@ static struct tegra_pcie_bus *tegra_pcie_bus_alloc(struct tegra_pcie *pcie,
        for (i = 0; i < 16; i++) {
                unsigned long virt = (unsigned long)bus->area->addr +
                                     i * SZ_64K;
-               phys_addr_t phys = cs + i * SZ_1M + busnr * SZ_64K;
+               phys_addr_t phys = cs + i * SZ_16M + busnr * SZ_64K;
 
                err = ioremap_page_range(virt, virt + SZ_64K, phys, prot);
                if (err < 0) {
@@ -561,6 +585,8 @@ static void tegra_pcie_port_enable(struct tegra_pcie_port *port)
        if (soc->has_pex_clkreq_en)
                value |= AFI_PEX_CTRL_CLKREQ_EN;
 
+       value |= AFI_PEX_CTRL_OVERRIDE_EN;
+
        afi_writel(port->pcie, value, ctrl);
 
        tegra_pcie_port_reset(port);
@@ -568,6 +594,7 @@ static void tegra_pcie_port_enable(struct tegra_pcie_port *port)
 
 static void tegra_pcie_port_disable(struct tegra_pcie_port *port)
 {
+       const struct tegra_pcie_soc_data *soc = port->pcie->soc_data;
        unsigned long ctrl = tegra_pcie_port_get_pex_ctrl(port);
        unsigned long value;
 
@@ -578,6 +605,10 @@ static void tegra_pcie_port_disable(struct tegra_pcie_port *port)
 
        /* disable reference clock */
        value = afi_readl(port->pcie, ctrl);
+
+       if (soc->has_pex_clkreq_en)
+               value &= ~AFI_PEX_CTRL_CLKREQ_EN;
+
        value &= ~AFI_PEX_CTRL_REFCLK_EN;
        afi_writel(port->pcie, value, ctrl);
 }
@@ -626,6 +657,15 @@ DECLARE_PCI_FIXUP_FINAL(PCI_ANY_ID, PCI_ANY_ID, tegra_pcie_relax_enable);
 static int tegra_pcie_setup(int nr, struct pci_sys_data *sys)
 {
        struct tegra_pcie *pcie = sys_to_pcie(sys);
+       int err;
+
+       err = devm_request_resource(pcie->dev, &pcie->all, &pcie->mem);
+       if (err < 0)
+               return err;
+
+       err = devm_request_resource(pcie->dev, &pcie->all, &pcie->prefetch);
+       if (err)
+               return err;
 
        pci_add_resource_offset(&sys->resources, &pcie->mem, sys->mem_offset);
        pci_add_resource_offset(&sys->resources, &pcie->prefetch,
@@ -684,9 +724,15 @@ static irqreturn_t tegra_pcie_isr(int irq, void *arg)
                "Target abort",
                "Master abort",
                "Invalid write",
+               "Legacy interrupt",
                "Response decoding error",
                "AXI response decoding error",
                "Transaction timeout",
+               "Slot present pin change",
+               "Slot clock request change",
+               "TMS clock ramp change",
+               "TMS ready for power down",
+               "Peer2Peer error",
        };
        struct tegra_pcie *pcie = arg;
        u32 code, signature;
@@ -792,30 +838,27 @@ static void tegra_pcie_setup_translations(struct tegra_pcie *pcie)
        afi_writel(pcie, 0, AFI_MSI_BAR_SZ);
 }
 
-static int tegra_pcie_enable_controller(struct tegra_pcie *pcie)
+static int tegra_pcie_pll_wait(struct tegra_pcie *pcie, unsigned long timeout)
 {
        const struct tegra_pcie_soc_data *soc = pcie->soc_data;
-       struct tegra_pcie_port *port;
-       unsigned int timeout;
-       unsigned long value;
-
-       /* power down PCIe slot clock bias pad */
-       if (soc->has_pex_bias_ctrl)
-               afi_writel(pcie, 0, AFI_PEXBIAS_CTRL_0);
+       u32 value;
 
-       /* configure mode and disable all ports */
-       value = afi_readl(pcie, AFI_PCIE_CONFIG);
-       value &= ~AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_MASK;
-       value |= AFI_PCIE_CONFIG_PCIE_DISABLE_ALL | pcie->xbar_config;
+       timeout = jiffies + msecs_to_jiffies(timeout);
 
-       list_for_each_entry(port, &pcie->ports, list)
-               value &= ~AFI_PCIE_CONFIG_PCIE_DISABLE(port->index);
+       while (time_before(jiffies, timeout)) {
+               value = pads_readl(pcie, soc->pads_pll_ctl);
+               if (value & PADS_PLL_CTL_LOCKDET)
+                       return 0;
+       }
 
-       afi_writel(pcie, value, AFI_PCIE_CONFIG);
+       return -ETIMEDOUT;
+}
 
-       value = afi_readl(pcie, AFI_FUSE);
-       value |= AFI_FUSE_PCIE_T0_GEN2_DIS;
-       afi_writel(pcie, value, AFI_FUSE);
+static int tegra_pcie_phy_enable(struct tegra_pcie *pcie)
+{
+       const struct tegra_pcie_soc_data *soc = pcie->soc_data;
+       u32 value;
+       int err;
 
        /* initialize internal PHY, enable up to 16 PCIE lanes */
        pads_writel(pcie, 0x0, PADS_CTL_SEL);
@@ -834,6 +877,13 @@ static int tegra_pcie_enable_controller(struct tegra_pcie *pcie)
        value |= PADS_PLL_CTL_REFCLK_INTERNAL_CML | soc->tx_ref_sel;
        pads_writel(pcie, value, soc->pads_pll_ctl);
 
+       /* reset PLL */
+       value = pads_readl(pcie, soc->pads_pll_ctl);
+       value &= ~PADS_PLL_CTL_RST_B4SM;
+       pads_writel(pcie, value, soc->pads_pll_ctl);
+
+       usleep_range(20, 100);
+
        /* take PLL out of reset  */
        value = pads_readl(pcie, soc->pads_pll_ctl);
        value |= PADS_PLL_CTL_RST_B4SM;
@@ -846,15 +896,11 @@ static int tegra_pcie_enable_controller(struct tegra_pcie *pcie)
                pads_writel(pcie, PADS_REFCLK_CFG_VALUE, PADS_REFCLK_CFG1);
 
        /* wait for the PLL to lock */
-       timeout = 300;
-       do {
-               value = pads_readl(pcie, soc->pads_pll_ctl);
-               usleep_range(1000, 2000);
-               if (--timeout == 0) {
-                       pr_err("Tegra PCIe error: timeout waiting for PLL\n");
-                       return -EBUSY;
-               }
-       } while (!(value & PADS_PLL_CTL_LOCKDET));
+       err = tegra_pcie_pll_wait(pcie, 500);
+       if (err < 0) {
+               dev_err(pcie->dev, "PLL failed to lock: %d\n", err);
+               return err;
+       }
 
        /* turn off IDDQ override */
        value = pads_readl(pcie, PADS_CTL);
@@ -866,6 +912,58 @@ static int tegra_pcie_enable_controller(struct tegra_pcie *pcie)
        value |= PADS_CTL_TX_DATA_EN_1L | PADS_CTL_RX_DATA_EN_1L;
        pads_writel(pcie, value, PADS_CTL);
 
+       return 0;
+}
+
+static int tegra_pcie_enable_controller(struct tegra_pcie *pcie)
+{
+       const struct tegra_pcie_soc_data *soc = pcie->soc_data;
+       struct tegra_pcie_port *port;
+       unsigned long value;
+       int err;
+
+       /* enable PLL power down */
+       if (pcie->phy) {
+               value = afi_readl(pcie, AFI_PLLE_CONTROL);
+               value &= ~AFI_PLLE_CONTROL_BYPASS_PADS2PLLE_CONTROL;
+               value |= AFI_PLLE_CONTROL_PADS2PLLE_CONTROL_EN;
+               afi_writel(pcie, value, AFI_PLLE_CONTROL);
+       }
+
+       /* power down PCIe slot clock bias pad */
+       if (soc->has_pex_bias_ctrl)
+               afi_writel(pcie, 0, AFI_PEXBIAS_CTRL_0);
+
+       /* configure mode and disable all ports */
+       value = afi_readl(pcie, AFI_PCIE_CONFIG);
+       value &= ~AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_MASK;
+       value |= AFI_PCIE_CONFIG_PCIE_DISABLE_ALL | pcie->xbar_config;
+
+       list_for_each_entry(port, &pcie->ports, list)
+               value &= ~AFI_PCIE_CONFIG_PCIE_DISABLE(port->index);
+
+       afi_writel(pcie, value, AFI_PCIE_CONFIG);
+
+       if (soc->has_gen2) {
+               value = afi_readl(pcie, AFI_FUSE);
+               value &= ~AFI_FUSE_PCIE_T0_GEN2_DIS;
+               afi_writel(pcie, value, AFI_FUSE);
+       } else {
+               value = afi_readl(pcie, AFI_FUSE);
+               value |= AFI_FUSE_PCIE_T0_GEN2_DIS;
+               afi_writel(pcie, value, AFI_FUSE);
+       }
+
+       if (!pcie->phy)
+               err = tegra_pcie_phy_enable(pcie);
+       else
+               err = phy_power_on(pcie->phy);
+
+       if (err < 0) {
+               dev_err(pcie->dev, "failed to power on PHY: %d\n", err);
+               return err;
+       }
+
        /* take the PCIe interface module out of reset */
        reset_control_deassert(pcie->pcie_xrst);
 
@@ -899,6 +997,10 @@ static void tegra_pcie_power_off(struct tegra_pcie *pcie)
 
        /* TODO: disable and unprepare clocks? */
 
+       err = phy_power_off(pcie->phy);
+       if (err < 0)
+               dev_warn(pcie->dev, "failed to power off PHY: %d\n", err);
+
        reset_control_assert(pcie->pcie_xrst);
        reset_control_assert(pcie->afi_rst);
        reset_control_assert(pcie->pex_rst);
@@ -1020,6 +1122,19 @@ static int tegra_pcie_get_resources(struct tegra_pcie *pcie)
                return err;
        }
 
+       pcie->phy = devm_phy_optional_get(pcie->dev, "pcie");
+       if (IS_ERR(pcie->phy)) {
+               err = PTR_ERR(pcie->phy);
+               dev_err(&pdev->dev, "failed to get PHY: %d\n", err);
+               return err;
+       }
+
+       err = phy_init(pcie->phy);
+       if (err < 0) {
+               dev_err(&pdev->dev, "failed to initialize PHY: %d\n", err);
+               return err;
+       }
+
        err = tegra_pcie_power_on(pcie);
        if (err) {
                dev_err(&pdev->dev, "failed to power up: %d\n", err);
@@ -1078,10 +1193,17 @@ poweroff:
 
 static int tegra_pcie_put_resources(struct tegra_pcie *pcie)
 {
+       int err;
+
        if (pcie->irq > 0)
                free_irq(pcie->irq, pcie);
 
        tegra_pcie_power_off(pcie);
+
+       err = phy_exit(pcie->phy);
+       if (err < 0)
+               dev_err(pcie->dev, "failed to teardown PHY: %d\n", err);
+
        return 0;
 }
 
@@ -1170,8 +1292,10 @@ static int tegra_msi_setup_irq(struct msi_chip *chip, struct pci_dev *pdev,
                return hwirq;
 
        irq = irq_create_mapping(msi->domain, hwirq);
-       if (!irq)
+       if (!irq) {
+               tegra_msi_free(msi, hwirq);
                return -EINVAL;
+       }
 
        irq_set_msi_desc(irq, desc);
 
@@ -1189,8 +1313,10 @@ static void tegra_msi_teardown_irq(struct msi_chip *chip, unsigned int irq)
 {
        struct tegra_msi *msi = to_tegra_msi(chip);
        struct irq_data *d = irq_get_irq_data(irq);
+       irq_hw_number_t hwirq = irqd_to_hwirq(d);
 
-       tegra_msi_free(msi, d->hwirq);
+       irq_dispose_mapping(irq);
+       tegra_msi_free(msi, hwirq);
 }
 
 static struct irq_chip tegra_msi_irq_chip = {
@@ -1327,7 +1453,19 @@ static int tegra_pcie_get_xbar_config(struct tegra_pcie *pcie, u32 lanes,
 {
        struct device_node *np = pcie->dev->of_node;
 
-       if (of_device_is_compatible(np, "nvidia,tegra30-pcie")) {
+       if (of_device_is_compatible(np, "nvidia,tegra124-pcie")) {
+               switch (lanes) {
+               case 0x0000104:
+                       dev_info(pcie->dev, "4x1, 1x1 configuration\n");
+                       *xbar = AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_X4_X1;
+                       return 0;
+
+               case 0x0000102:
+                       dev_info(pcie->dev, "2x1, 1x1 configuration\n");
+                       *xbar = AFI_PCIE_CONFIG_SM2TMS0_XBAR_CONFIG_X2_X1;
+                       return 0;
+               }
+       } else if (of_device_is_compatible(np, "nvidia,tegra30-pcie")) {
                switch (lanes) {
                case 0x00000204:
                        dev_info(pcie->dev, "4x1, 2x1 configuration\n");
@@ -1435,7 +1573,23 @@ static int tegra_pcie_get_regulators(struct tegra_pcie *pcie, u32 lane_mask)
        struct device_node *np = pcie->dev->of_node;
        unsigned int i = 0;
 
-       if (of_device_is_compatible(np, "nvidia,tegra30-pcie")) {
+       if (of_device_is_compatible(np, "nvidia,tegra124-pcie")) {
+               pcie->num_supplies = 7;
+
+               pcie->supplies = devm_kcalloc(pcie->dev, pcie->num_supplies,
+                                             sizeof(*pcie->supplies),
+                                             GFP_KERNEL);
+               if (!pcie->supplies)
+                       return -ENOMEM;
+
+               pcie->supplies[i++].supply = "avddio-pex";
+               pcie->supplies[i++].supply = "dvddio-pex";
+               pcie->supplies[i++].supply = "avdd-pex-pll";
+               pcie->supplies[i++].supply = "hvdd-pex";
+               pcie->supplies[i++].supply = "hvdd-pex-pll-e";
+               pcie->supplies[i++].supply = "vddio-pex-ctl";
+               pcie->supplies[i++].supply = "avdd-pll-erefe";
+       } else if (of_device_is_compatible(np, "nvidia,tegra30-pcie")) {
                bool need_pexa = false, need_pexb = false;
 
                /* VDD_PEXA and AVDD_PEXA supply lanes 0 to 3 */
@@ -1514,6 +1668,12 @@ static int tegra_pcie_parse_dt(struct tegra_pcie *pcie)
        struct resource res;
        int err;
 
+       memset(&pcie->all, 0, sizeof(pcie->all));
+       pcie->all.flags = IORESOURCE_MEM;
+       pcie->all.name = np->full_name;
+       pcie->all.start = ~0;
+       pcie->all.end = 0;
+
        if (of_pci_range_parser_init(&parser, np)) {
                dev_err(pcie->dev, "missing \"ranges\" property\n");
                return -EINVAL;
@@ -1525,21 +1685,31 @@ static int tegra_pcie_parse_dt(struct tegra_pcie *pcie)
                switch (res.flags & IORESOURCE_TYPE_BITS) {
                case IORESOURCE_IO:
                        memcpy(&pcie->io, &res, sizeof(res));
-                       pcie->io.name = "I/O";
+                       pcie->io.name = np->full_name;
                        break;
 
                case IORESOURCE_MEM:
                        if (res.flags & IORESOURCE_PREFETCH) {
                                memcpy(&pcie->prefetch, &res, sizeof(res));
-                               pcie->prefetch.name = "PREFETCH";
+                               pcie->prefetch.name = "prefetchable";
                        } else {
                                memcpy(&pcie->mem, &res, sizeof(res));
-                               pcie->mem.name = "MEM";
+                               pcie->mem.name = "non-prefetchable";
                        }
                        break;
                }
+
+               if (res.start <= pcie->all.start)
+                       pcie->all.start = res.start;
+
+               if (res.end >= pcie->all.end)
+                       pcie->all.end = res.end;
        }
 
+       err = devm_request_resource(pcie->dev, &iomem_resource, &pcie->all);
+       if (err < 0)
+               return err;
+
        err = of_pci_parse_bus_range(np, &pcie->busn);
        if (err < 0) {
                dev_err(pcie->dev, "failed to parse ranges property: %d\n",
@@ -1641,6 +1811,12 @@ static bool tegra_pcie_port_check_link(struct tegra_pcie_port *port)
        unsigned int retries = 3;
        unsigned long value;
 
+       /* override presence detection */
+       value = readl(port->base + RP_PRIV_MISC);
+       value &= ~RP_PRIV_MISC_PRSNT_MAP_EP_ABSNT;
+       value |= RP_PRIV_MISC_PRSNT_MAP_EP_PRSNT;
+       writel(value, port->base + RP_PRIV_MISC);
+
        do {
                unsigned int timeout = TEGRA_PCIE_LINKUP_TIMEOUT;
 
@@ -1721,6 +1897,7 @@ static const struct tegra_pcie_soc_data tegra20_pcie_data = {
        .has_pex_bias_ctrl = false,
        .has_intr_prsnt_sense = false,
        .has_cml_clk = false,
+       .has_gen2 = false,
 };
 
 static const struct tegra_pcie_soc_data tegra30_pcie_data = {
@@ -1732,9 +1909,23 @@ static const struct tegra_pcie_soc_data tegra30_pcie_data = {
        .has_pex_bias_ctrl = true,
        .has_intr_prsnt_sense = true,
        .has_cml_clk = true,
+       .has_gen2 = false,
+};
+
+static const struct tegra_pcie_soc_data tegra124_pcie_data = {
+       .num_ports = 2,
+       .msi_base_shift = 8,
+       .pads_pll_ctl = PADS_PLL_CTL_TEGRA30,
+       .tx_ref_sel = PADS_PLL_CTL_TXCLKREF_BUF_EN,
+       .has_pex_clkreq_en = true,
+       .has_pex_bias_ctrl = true,
+       .has_intr_prsnt_sense = true,
+       .has_cml_clk = true,
+       .has_gen2 = true,
 };
 
 static const struct of_device_id tegra_pcie_of_match[] = {
+       { .compatible = "nvidia,tegra124-pcie", .data = &tegra124_pcie_data },
        { .compatible = "nvidia,tegra30-pcie", .data = &tegra30_pcie_data },
        { .compatible = "nvidia,tegra20-pcie", .data = &tegra20_pcie_data },
        { },
index 52bd3a14356310195af1219e74b7e65091da6d88..5d720c21fdc0dc723c1c58d6de69f8dbfd9a078e 100644 (file)
@@ -73,6 +73,8 @@ static unsigned long global_io_offset;
 
 static inline struct pcie_port *sys_to_pcie(struct pci_sys_data *sys)
 {
+       BUG_ON(!sys->private_data);
+
        return sys->private_data;
 }
 
@@ -261,11 +263,6 @@ static int assign_irq(int no_irqs, struct msi_desc *desc, int *pos)
        int irq, pos0, pos1, i;
        struct pcie_port *pp = sys_to_pcie(desc->dev->bus->sysdata);
 
-       if (!pp) {
-               BUG();
-               return -EINVAL;
-       }
-
        pos0 = find_first_zero_bit(pp->msi_irq_in_use,
                        MAX_MSI_IRQS);
        if (pos0 % no_irqs) {
@@ -326,10 +323,6 @@ static void clear_irq(unsigned int irq)
        /* get the port structure */
        msi = irq_data_get_msi(data);
        pp = sys_to_pcie(msi->dev->bus->sysdata);
-       if (!pp) {
-               BUG();
-               return;
-       }
 
        /* undo what was done in assign_irq */
        pos = data->hwirq;
@@ -350,11 +343,6 @@ static int dw_msi_setup_irq(struct msi_chip *chip, struct pci_dev *pdev,
        struct msi_msg msg;
        struct pcie_port *pp = sys_to_pcie(pdev->bus->sysdata);
 
-       if (!pp) {
-               BUG();
-               return -EINVAL;
-       }
-
        pci_read_config_word(pdev, desc->msi_attrib.pos+PCI_MSI_FLAGS,
                                &msg_ctr);
        msgvec = (msg_ctr&PCI_MSI_FLAGS_QSIZE) >> 4;
@@ -425,7 +413,7 @@ int __init dw_pcie_host_init(struct pcie_port *pp)
        struct resource *cfg_res;
        u32 val, na, ns;
        const __be32 *addrp;
-       int i, index;
+       int i, index, ret;
 
        /* Find the address cell size and the number of cells in order to get
         * the untranslated address.
@@ -435,16 +423,16 @@ int __init dw_pcie_host_init(struct pcie_port *pp)
 
        cfg_res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "config");
        if (cfg_res) {
-               pp->config.cfg0_size = resource_size(cfg_res)/2;
-               pp->config.cfg1_size = resource_size(cfg_res)/2;
+               pp->cfg0_size = resource_size(cfg_res)/2;
+               pp->cfg1_size = resource_size(cfg_res)/2;
                pp->cfg0_base = cfg_res->start;
-               pp->cfg1_base = cfg_res->start + pp->config.cfg0_size;
+               pp->cfg1_base = cfg_res->start + pp->cfg0_size;
 
                /* Find the untranslated configuration space address */
                index = of_property_match_string(np, "reg-names", "config");
                addrp = of_get_address(np, index, false, false);
                pp->cfg0_mod_base = of_read_number(addrp, ns);
-               pp->cfg1_mod_base = pp->cfg0_mod_base + pp->config.cfg0_size;
+               pp->cfg1_mod_base = pp->cfg0_mod_base + pp->cfg0_size;
        } else {
                dev_err(pp->dev, "missing *config* reg space\n");
        }
@@ -467,8 +455,8 @@ int __init dw_pcie_host_init(struct pcie_port *pp)
                                           IO_SPACE_LIMIT,
                                           range.pci_addr + range.size
                                           + global_io_offset);
-                       pp->config.io_size = resource_size(&pp->io);
-                       pp->config.io_bus_addr = range.pci_addr;
+                       pp->io_size = resource_size(&pp->io);
+                       pp->io_bus_addr = range.pci_addr;
                        pp->io_base = range.cpu_addr;
 
                        /* Find the untranslated IO space address */
@@ -478,8 +466,8 @@ int __init dw_pcie_host_init(struct pcie_port *pp)
                if (restype == IORESOURCE_MEM) {
                        of_pci_range_to_resource(&range, np, &pp->mem);
                        pp->mem.name = "MEM";
-                       pp->config.mem_size = resource_size(&pp->mem);
-                       pp->config.mem_bus_addr = range.pci_addr;
+                       pp->mem_size = resource_size(&pp->mem);
+                       pp->mem_bus_addr = range.pci_addr;
 
                        /* Find the untranslated MEM space address */
                        pp->mem_mod_base = of_read_number(parser.range -
@@ -487,19 +475,29 @@ int __init dw_pcie_host_init(struct pcie_port *pp)
                }
                if (restype == 0) {
                        of_pci_range_to_resource(&range, np, &pp->cfg);
-                       pp->config.cfg0_size = resource_size(&pp->cfg)/2;
-                       pp->config.cfg1_size = resource_size(&pp->cfg)/2;
+                       pp->cfg0_size = resource_size(&pp->cfg)/2;
+                       pp->cfg1_size = resource_size(&pp->cfg)/2;
                        pp->cfg0_base = pp->cfg.start;
-                       pp->cfg1_base = pp->cfg.start + pp->config.cfg0_size;
+                       pp->cfg1_base = pp->cfg.start + pp->cfg0_size;
 
                        /* Find the untranslated configuration space address */
                        pp->cfg0_mod_base = of_read_number(parser.range -
                                                           parser.np + na, ns);
                        pp->cfg1_mod_base = pp->cfg0_mod_base +
-                                           pp->config.cfg0_size;
+                                           pp->cfg0_size;
                }
        }
 
+       ret = of_pci_parse_bus_range(np, &pp->busn);
+       if (ret < 0) {
+               pp->busn.name = np->name;
+               pp->busn.start = 0;
+               pp->busn.end = 0xff;
+               pp->busn.flags = IORESOURCE_BUS;
+               dev_dbg(pp->dev, "failed to parse bus-range property: %d, using default %pR\n",
+                       ret, &pp->busn);
+       }
+
        if (!pp->dbi_base) {
                pp->dbi_base = devm_ioremap(pp->dev, pp->cfg.start,
                                        resource_size(&pp->cfg));
@@ -511,17 +509,24 @@ int __init dw_pcie_host_init(struct pcie_port *pp)
 
        pp->mem_base = pp->mem.start;
 
-       pp->va_cfg0_base = devm_ioremap(pp->dev, pp->cfg0_base,
-                                       pp->config.cfg0_size);
        if (!pp->va_cfg0_base) {
-               dev_err(pp->dev, "error with ioremap in function\n");
-               return -ENOMEM;
+               pp->cfg0_base = pp->cfg.start;
+               pp->va_cfg0_base = devm_ioremap(pp->dev, pp->cfg0_base,
+                                               pp->cfg0_size);
+               if (!pp->va_cfg0_base) {
+                       dev_err(pp->dev, "error with ioremap in function\n");
+                       return -ENOMEM;
+               }
        }
-       pp->va_cfg1_base = devm_ioremap(pp->dev, pp->cfg1_base,
-                                       pp->config.cfg1_size);
+
        if (!pp->va_cfg1_base) {
-               dev_err(pp->dev, "error with ioremap\n");
-               return -ENOMEM;
+               pp->cfg1_base = pp->cfg.start + pp->cfg0_size;
+               pp->va_cfg1_base = devm_ioremap(pp->dev, pp->cfg1_base,
+                                               pp->cfg1_size);
+               if (!pp->va_cfg1_base) {
+                       dev_err(pp->dev, "error with ioremap\n");
+                       return -ENOMEM;
+               }
        }
 
        if (of_property_read_u32(np, "num-lanes", &pp->lanes)) {
@@ -530,16 +535,22 @@ int __init dw_pcie_host_init(struct pcie_port *pp)
        }
 
        if (IS_ENABLED(CONFIG_PCI_MSI)) {
-               pp->irq_domain = irq_domain_add_linear(pp->dev->of_node,
-                                       MAX_MSI_IRQS, &msi_domain_ops,
-                                       &dw_pcie_msi_chip);
-               if (!pp->irq_domain) {
-                       dev_err(pp->dev, "irq domain init failed\n");
-                       return -ENXIO;
-               }
+               if (!pp->ops->msi_host_init) {
+                       pp->irq_domain = irq_domain_add_linear(pp->dev->of_node,
+                                               MAX_MSI_IRQS, &msi_domain_ops,
+                                               &dw_pcie_msi_chip);
+                       if (!pp->irq_domain) {
+                               dev_err(pp->dev, "irq domain init failed\n");
+                               return -ENXIO;
+                       }
 
-               for (i = 0; i < MAX_MSI_IRQS; i++)
-                       irq_create_mapping(pp->irq_domain, i);
+                       for (i = 0; i < MAX_MSI_IRQS; i++)
+                               irq_create_mapping(pp->irq_domain, i);
+               } else {
+                       ret = pp->ops->msi_host_init(pp, &dw_pcie_msi_chip);
+                       if (ret < 0)
+                               return ret;
+               }
        }
 
        if (pp->ops->host_init)
@@ -558,7 +569,6 @@ int __init dw_pcie_host_init(struct pcie_port *pp)
        dw_pci.private_data = (void **)&pp;
 
        pci_common_init_dev(pp->dev, &dw_pci);
-       pci_assign_unassigned_resources();
 #ifdef CONFIG_PCI_DOMAINS
        dw_pci.domain++;
 #endif
@@ -573,7 +583,7 @@ static void dw_pcie_prog_viewport_cfg0(struct pcie_port *pp, u32 busdev)
                          PCIE_ATU_VIEWPORT);
        dw_pcie_writel_rc(pp, pp->cfg0_mod_base, PCIE_ATU_LOWER_BASE);
        dw_pcie_writel_rc(pp, (pp->cfg0_mod_base >> 32), PCIE_ATU_UPPER_BASE);
-       dw_pcie_writel_rc(pp, pp->cfg0_mod_base + pp->config.cfg0_size - 1,
+       dw_pcie_writel_rc(pp, pp->cfg0_mod_base + pp->cfg0_size - 1,
                          PCIE_ATU_LIMIT);
        dw_pcie_writel_rc(pp, busdev, PCIE_ATU_LOWER_TARGET);
        dw_pcie_writel_rc(pp, 0, PCIE_ATU_UPPER_TARGET);
@@ -589,7 +599,7 @@ static void dw_pcie_prog_viewport_cfg1(struct pcie_port *pp, u32 busdev)
        dw_pcie_writel_rc(pp, PCIE_ATU_TYPE_CFG1, PCIE_ATU_CR1);
        dw_pcie_writel_rc(pp, pp->cfg1_mod_base, PCIE_ATU_LOWER_BASE);
        dw_pcie_writel_rc(pp, (pp->cfg1_mod_base >> 32), PCIE_ATU_UPPER_BASE);
-       dw_pcie_writel_rc(pp, pp->cfg1_mod_base + pp->config.cfg1_size - 1,
+       dw_pcie_writel_rc(pp, pp->cfg1_mod_base + pp->cfg1_size - 1,
                          PCIE_ATU_LIMIT);
        dw_pcie_writel_rc(pp, busdev, PCIE_ATU_LOWER_TARGET);
        dw_pcie_writel_rc(pp, 0, PCIE_ATU_UPPER_TARGET);
@@ -604,10 +614,10 @@ static void dw_pcie_prog_viewport_mem_outbound(struct pcie_port *pp)
        dw_pcie_writel_rc(pp, PCIE_ATU_TYPE_MEM, PCIE_ATU_CR1);
        dw_pcie_writel_rc(pp, pp->mem_mod_base, PCIE_ATU_LOWER_BASE);
        dw_pcie_writel_rc(pp, (pp->mem_mod_base >> 32), PCIE_ATU_UPPER_BASE);
-       dw_pcie_writel_rc(pp, pp->mem_mod_base + pp->config.mem_size - 1,
+       dw_pcie_writel_rc(pp, pp->mem_mod_base + pp->mem_size - 1,
                          PCIE_ATU_LIMIT);
-       dw_pcie_writel_rc(pp, pp->config.mem_bus_addr, PCIE_ATU_LOWER_TARGET);
-       dw_pcie_writel_rc(pp, upper_32_bits(pp->config.mem_bus_addr),
+       dw_pcie_writel_rc(pp, pp->mem_bus_addr, PCIE_ATU_LOWER_TARGET);
+       dw_pcie_writel_rc(pp, upper_32_bits(pp->mem_bus_addr),
                          PCIE_ATU_UPPER_TARGET);
        dw_pcie_writel_rc(pp, PCIE_ATU_ENABLE, PCIE_ATU_CR2);
 }
@@ -620,10 +630,10 @@ static void dw_pcie_prog_viewport_io_outbound(struct pcie_port *pp)
        dw_pcie_writel_rc(pp, PCIE_ATU_TYPE_IO, PCIE_ATU_CR1);
        dw_pcie_writel_rc(pp, pp->io_mod_base, PCIE_ATU_LOWER_BASE);
        dw_pcie_writel_rc(pp, (pp->io_mod_base >> 32), PCIE_ATU_UPPER_BASE);
-       dw_pcie_writel_rc(pp, pp->io_mod_base + pp->config.io_size - 1,
+       dw_pcie_writel_rc(pp, pp->io_mod_base + pp->io_size - 1,
                          PCIE_ATU_LIMIT);
-       dw_pcie_writel_rc(pp, pp->config.io_bus_addr, PCIE_ATU_LOWER_TARGET);
-       dw_pcie_writel_rc(pp, upper_32_bits(pp->config.io_bus_addr),
+       dw_pcie_writel_rc(pp, pp->io_bus_addr, PCIE_ATU_LOWER_TARGET);
+       dw_pcie_writel_rc(pp, upper_32_bits(pp->io_bus_addr),
                          PCIE_ATU_UPPER_TARGET);
        dw_pcie_writel_rc(pp, PCIE_ATU_ENABLE, PCIE_ATU_CR2);
 }
@@ -707,11 +717,6 @@ static int dw_pcie_rd_conf(struct pci_bus *bus, u32 devfn, int where,
        struct pcie_port *pp = sys_to_pcie(bus->sysdata);
        int ret;
 
-       if (!pp) {
-               BUG();
-               return -EINVAL;
-       }
-
        if (dw_pcie_valid_config(pp, bus, PCI_SLOT(devfn)) == 0) {
                *val = 0xffffffff;
                return PCIBIOS_DEVICE_NOT_FOUND;
@@ -736,11 +741,6 @@ static int dw_pcie_wr_conf(struct pci_bus *bus, u32 devfn,
        struct pcie_port *pp = sys_to_pcie(bus->sysdata);
        int ret;
 
-       if (!pp) {
-               BUG();
-               return -EINVAL;
-       }
-
        if (dw_pcie_valid_config(pp, bus, PCI_SLOT(devfn)) == 0)
                return PCIBIOS_DEVICE_NOT_FOUND;
 
@@ -768,19 +768,17 @@ static int dw_pcie_setup(int nr, struct pci_sys_data *sys)
 
        pp = sys_to_pcie(sys);
 
-       if (!pp)
-               return 0;
-
-       if (global_io_offset < SZ_1M && pp->config.io_size > 0) {
-               sys->io_offset = global_io_offset - pp->config.io_bus_addr;
+       if (global_io_offset < SZ_1M && pp->io_size > 0) {
+               sys->io_offset = global_io_offset - pp->io_bus_addr;
                pci_ioremap_io(global_io_offset, pp->io_base);
                global_io_offset += SZ_64K;
                pci_add_resource_offset(&sys->resources, &pp->io,
                                        sys->io_offset);
        }
 
-       sys->mem_offset = pp->mem.start - pp->config.mem_bus_addr;
+       sys->mem_offset = pp->mem.start - pp->mem_bus_addr;
        pci_add_resource_offset(&sys->resources, &pp->mem, sys->mem_offset);
+       pci_add_resource(&sys->resources, &pp->busn);
 
        return 1;
 }
@@ -790,14 +788,16 @@ static struct pci_bus *dw_pcie_scan_bus(int nr, struct pci_sys_data *sys)
        struct pci_bus *bus;
        struct pcie_port *pp = sys_to_pcie(sys);
 
-       if (pp) {
-               pp->root_bus_nr = sys->busnr;
-               bus = pci_scan_root_bus(pp->dev, sys->busnr, &dw_pcie_ops,
-                                       sys, &sys->resources);
-       } else {
-               bus = NULL;
-               BUG();
-       }
+       pp->root_bus_nr = sys->busnr;
+       bus = pci_create_root_bus(pp->dev, sys->busnr,
+                                 &dw_pcie_ops, sys, &sys->resources);
+       if (!bus)
+               return NULL;
+
+       pci_scan_child_bus(bus);
+
+       if (bus && pp->ops->scan_bus)
+               pp->ops->scan_bus(pp);
 
        return bus;
 }
@@ -833,7 +833,6 @@ static struct hw_pci dw_pci = {
 
 void dw_pcie_setup_rc(struct pcie_port *pp)
 {
-       struct pcie_port_info *config = &pp->config;
        u32 val;
        u32 membase;
        u32 memlimit;
@@ -888,7 +887,7 @@ void dw_pcie_setup_rc(struct pcie_port *pp)
 
        /* setup memory base, memory limit */
        membase = ((u32)pp->mem_base & 0xfff00000) >> 16;
-       memlimit = (config->mem_size + (u32)pp->mem_base) & 0xfff00000;
+       memlimit = (pp->mem_size + (u32)pp->mem_base) & 0xfff00000;
        val = memlimit | membase;
        dw_pcie_writel_rc(pp, val, PCI_MEMORY_BASE);
 
index daf81f922cda34e472f4be5c16d5a967f70528da..48f86702cc9c3c90d4d8c73499ccbcbe9e34ab9e 100644 (file)
 #ifndef _PCIE_DESIGNWARE_H
 #define _PCIE_DESIGNWARE_H
 
-struct pcie_port_info {
-       u32             cfg0_size;
-       u32             cfg1_size;
-       u32             io_size;
-       u32             mem_size;
-       phys_addr_t     io_bus_addr;
-       phys_addr_t     mem_bus_addr;
-};
-
 /*
  * Maximum number of MSI IRQs can be 256 per controller. But keep
  * it 32 as of now. Probably we will never need more than 32. If needed,
@@ -38,17 +29,23 @@ struct pcie_port {
        u64                     cfg0_base;
        u64                     cfg0_mod_base;
        void __iomem            *va_cfg0_base;
+       u32                     cfg0_size;
        u64                     cfg1_base;
        u64                     cfg1_mod_base;
        void __iomem            *va_cfg1_base;
+       u32                     cfg1_size;
        u64                     io_base;
        u64                     io_mod_base;
+       phys_addr_t             io_bus_addr;
+       u32                     io_size;
        u64                     mem_base;
        u64                     mem_mod_base;
+       phys_addr_t             mem_bus_addr;
+       u32                     mem_size;
        struct resource         cfg;
        struct resource         io;
        struct resource         mem;
-       struct pcie_port_info   config;
+       struct resource         busn;
        int                     irq;
        u32                     lanes;
        struct pcie_host_ops    *ops;
@@ -74,6 +71,8 @@ struct pcie_host_ops {
        void (*msi_set_irq)(struct pcie_port *pp, int irq);
        void (*msi_clear_irq)(struct pcie_port *pp, int irq);
        u32 (*get_msi_data)(struct pcie_port *pp);
+       void (*scan_bus)(struct pcie_port *pp);
+       int (*msi_host_init)(struct pcie_port *pp, struct msi_chip *chip);
 };
 
 int dw_pcie_cfg_read(void __iomem *addr, int where, int size, u32 *val);
index 6dea9e43a75c1dcc866c2836f3b64cae282c0944..85f594e1708fbc9191864273cc7f093217f7e463 100644 (file)
@@ -340,7 +340,7 @@ static int __init spear13xx_pcie_probe(struct platform_device *pdev)
 
        pp->dev = dev;
 
-       dbi_base = platform_get_resource(pdev, IORESOURCE_MEM, 0);
+       dbi_base = platform_get_resource_byname(pdev, IORESOURCE_MEM, "dbi");
        pp->dbi_base = devm_ioremap_resource(dev, dbi_base);
        if (IS_ERR(pp->dbi_base)) {
                dev_err(dev, "couldn't remap dbi base %p\n", dbi_base);
diff --git a/drivers/pci/host/pcie-xilinx.c b/drivers/pci/host/pcie-xilinx.c
new file mode 100644 (file)
index 0000000..ccc496b
--- /dev/null
@@ -0,0 +1,970 @@
+/*
+ * PCIe host controller driver for Xilinx AXI PCIe Bridge
+ *
+ * Copyright (c) 2012 - 2014 Xilinx, Inc.
+ *
+ * Based on the Tegra PCIe driver
+ *
+ * Bits taken from Synopsys Designware Host controller driver and
+ * ARM PCI Host generic driver.
+ *
+ * This program is free software: you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation, either version 2 of the License, or
+ * (at your option) any later version.
+ */
+
+#include <linux/interrupt.h>
+#include <linux/irq.h>
+#include <linux/irqdomain.h>
+#include <linux/kernel.h>
+#include <linux/module.h>
+#include <linux/msi.h>
+#include <linux/of_address.h>
+#include <linux/of_pci.h>
+#include <linux/of_platform.h>
+#include <linux/of_irq.h>
+#include <linux/pci.h>
+#include <linux/platform_device.h>
+
+/* Register definitions */
+#define XILINX_PCIE_REG_BIR            0x00000130
+#define XILINX_PCIE_REG_IDR            0x00000138
+#define XILINX_PCIE_REG_IMR            0x0000013c
+#define XILINX_PCIE_REG_PSCR           0x00000144
+#define XILINX_PCIE_REG_RPSC           0x00000148
+#define XILINX_PCIE_REG_MSIBASE1       0x0000014c
+#define XILINX_PCIE_REG_MSIBASE2       0x00000150
+#define XILINX_PCIE_REG_RPEFR          0x00000154
+#define XILINX_PCIE_REG_RPIFR1         0x00000158
+#define XILINX_PCIE_REG_RPIFR2         0x0000015c
+
+/* Interrupt registers definitions */
+#define XILINX_PCIE_INTR_LINK_DOWN     BIT(0)
+#define XILINX_PCIE_INTR_ECRC_ERR      BIT(1)
+#define XILINX_PCIE_INTR_STR_ERR       BIT(2)
+#define XILINX_PCIE_INTR_HOT_RESET     BIT(3)
+#define XILINX_PCIE_INTR_CFG_TIMEOUT   BIT(8)
+#define XILINX_PCIE_INTR_CORRECTABLE   BIT(9)
+#define XILINX_PCIE_INTR_NONFATAL      BIT(10)
+#define XILINX_PCIE_INTR_FATAL         BIT(11)
+#define XILINX_PCIE_INTR_INTX          BIT(16)
+#define XILINX_PCIE_INTR_MSI           BIT(17)
+#define XILINX_PCIE_INTR_SLV_UNSUPP    BIT(20)
+#define XILINX_PCIE_INTR_SLV_UNEXP     BIT(21)
+#define XILINX_PCIE_INTR_SLV_COMPL     BIT(22)
+#define XILINX_PCIE_INTR_SLV_ERRP      BIT(23)
+#define XILINX_PCIE_INTR_SLV_CMPABT    BIT(24)
+#define XILINX_PCIE_INTR_SLV_ILLBUR    BIT(25)
+#define XILINX_PCIE_INTR_MST_DECERR    BIT(26)
+#define XILINX_PCIE_INTR_MST_SLVERR    BIT(27)
+#define XILINX_PCIE_INTR_MST_ERRP      BIT(28)
+#define XILINX_PCIE_IMR_ALL_MASK       0x1FF30FED
+#define XILINX_PCIE_IDR_ALL_MASK       0xFFFFFFFF
+
+/* Root Port Error FIFO Read Register definitions */
+#define XILINX_PCIE_RPEFR_ERR_VALID    BIT(18)
+#define XILINX_PCIE_RPEFR_REQ_ID       GENMASK(15, 0)
+#define XILINX_PCIE_RPEFR_ALL_MASK     0xFFFFFFFF
+
+/* Root Port Interrupt FIFO Read Register 1 definitions */
+#define XILINX_PCIE_RPIFR1_INTR_VALID  BIT(31)
+#define XILINX_PCIE_RPIFR1_MSI_INTR    BIT(30)
+#define XILINX_PCIE_RPIFR1_INTR_MASK   GENMASK(28, 27)
+#define XILINX_PCIE_RPIFR1_ALL_MASK    0xFFFFFFFF
+#define XILINX_PCIE_RPIFR1_INTR_SHIFT  27
+
+/* Bridge Info Register definitions */
+#define XILINX_PCIE_BIR_ECAM_SZ_MASK   GENMASK(18, 16)
+#define XILINX_PCIE_BIR_ECAM_SZ_SHIFT  16
+
+/* Root Port Interrupt FIFO Read Register 2 definitions */
+#define XILINX_PCIE_RPIFR2_MSG_DATA    GENMASK(15, 0)
+
+/* Root Port Status/control Register definitions */
+#define XILINX_PCIE_REG_RPSC_BEN       BIT(0)
+
+/* Phy Status/Control Register definitions */
+#define XILINX_PCIE_REG_PSCR_LNKUP     BIT(11)
+
+/* ECAM definitions */
+#define ECAM_BUS_NUM_SHIFT             20
+#define ECAM_DEV_NUM_SHIFT             12
+
+/* Number of MSI IRQs */
+#define XILINX_NUM_MSI_IRQS            128
+
+/* Number of Memory Resources */
+#define XILINX_MAX_NUM_RESOURCES       3
+
+/**
+ * struct xilinx_pcie_port - PCIe port information
+ * @reg_base: IO Mapped Register Base
+ * @irq: Interrupt number
+ * @msi_pages: MSI pages
+ * @root_busno: Root Bus number
+ * @dev: Device pointer
+ * @irq_domain: IRQ domain pointer
+ * @bus_range: Bus range
+ * @resources: Bus Resources
+ */
+struct xilinx_pcie_port {
+       void __iomem *reg_base;
+       u32 irq;
+       unsigned long msi_pages;
+       u8 root_busno;
+       struct device *dev;
+       struct irq_domain *irq_domain;
+       struct resource bus_range;
+       struct list_head resources;
+};
+
+static DECLARE_BITMAP(msi_irq_in_use, XILINX_NUM_MSI_IRQS);
+
+static inline struct xilinx_pcie_port *sys_to_pcie(struct pci_sys_data *sys)
+{
+       return sys->private_data;
+}
+
+static inline u32 pcie_read(struct xilinx_pcie_port *port, u32 reg)
+{
+       return readl(port->reg_base + reg);
+}
+
+static inline void pcie_write(struct xilinx_pcie_port *port, u32 val, u32 reg)
+{
+       writel(val, port->reg_base + reg);
+}
+
+static inline bool xilinx_pcie_link_is_up(struct xilinx_pcie_port *port)
+{
+       return (pcie_read(port, XILINX_PCIE_REG_PSCR) &
+               XILINX_PCIE_REG_PSCR_LNKUP) ? 1 : 0;
+}
+
+/**
+ * xilinx_pcie_clear_err_interrupts - Clear Error Interrupts
+ * @port: PCIe port information
+ */
+static void xilinx_pcie_clear_err_interrupts(struct xilinx_pcie_port *port)
+{
+       u32 val = pcie_read(port, XILINX_PCIE_REG_RPEFR);
+
+       if (val & XILINX_PCIE_RPEFR_ERR_VALID) {
+               dev_dbg(port->dev, "Requester ID %d\n",
+                       val & XILINX_PCIE_RPEFR_REQ_ID);
+               pcie_write(port, XILINX_PCIE_RPEFR_ALL_MASK,
+                          XILINX_PCIE_REG_RPEFR);
+       }
+}
+
+/**
+ * xilinx_pcie_valid_device - Check if a valid device is present on bus
+ * @bus: PCI Bus structure
+ * @devfn: device/function
+ *
+ * Return: 'true' on success and 'false' if invalid device is found
+ */
+static bool xilinx_pcie_valid_device(struct pci_bus *bus, unsigned int devfn)
+{
+       struct xilinx_pcie_port *port = sys_to_pcie(bus->sysdata);
+
+       /* Check if link is up when trying to access downstream ports */
+       if (bus->number != port->root_busno)
+               if (!xilinx_pcie_link_is_up(port))
+                       return false;
+
+       /* Only one device down on each root port */
+       if (bus->number == port->root_busno && devfn > 0)
+               return false;
+
+       /*
+        * Do not read more than one device on the bus directly attached
+        * to RC.
+        */
+       if (bus->primary == port->root_busno && devfn > 0)
+               return false;
+
+       return true;
+}
+
+/**
+ * xilinx_pcie_config_base - Get configuration base
+ * @bus: PCI Bus structure
+ * @devfn: Device/function
+ * @where: Offset from base
+ *
+ * Return: Base address of the configuration space needed to be
+ *        accessed.
+ */
+static void __iomem *xilinx_pcie_config_base(struct pci_bus *bus,
+                                            unsigned int devfn, int where)
+{
+       struct xilinx_pcie_port *port = sys_to_pcie(bus->sysdata);
+       int relbus;
+
+       relbus = (bus->number << ECAM_BUS_NUM_SHIFT) |
+                (devfn << ECAM_DEV_NUM_SHIFT);
+
+       return port->reg_base + relbus + where;
+}
+
+/**
+ * xilinx_pcie_read_config - Read configuration space
+ * @bus: PCI Bus structure
+ * @devfn: Device/function
+ * @where: Offset from base
+ * @size: Byte/word/dword
+ * @val: Value to be read
+ *
+ * Return: PCIBIOS_SUCCESSFUL on success
+ *        PCIBIOS_DEVICE_NOT_FOUND on failure
+ */
+static int xilinx_pcie_read_config(struct pci_bus *bus, unsigned int devfn,
+                                  int where, int size, u32 *val)
+{
+       void __iomem *addr;
+
+       if (!xilinx_pcie_valid_device(bus, devfn)) {
+               *val = 0xFFFFFFFF;
+               return PCIBIOS_DEVICE_NOT_FOUND;
+       }
+
+       addr = xilinx_pcie_config_base(bus, devfn, where);
+
+       switch (size) {
+       case 1:
+               *val = readb(addr);
+               break;
+       case 2:
+               *val = readw(addr);
+               break;
+       default:
+               *val = readl(addr);
+               break;
+       }
+
+       return PCIBIOS_SUCCESSFUL;
+}
+
+/**
+ * xilinx_pcie_write_config - Write configuration space
+ * @bus: PCI Bus structure
+ * @devfn: Device/function
+ * @where: Offset from base
+ * @size: Byte/word/dword
+ * @val: Value to be written to device
+ *
+ * Return: PCIBIOS_SUCCESSFUL on success
+ *        PCIBIOS_DEVICE_NOT_FOUND on failure
+ */
+static int xilinx_pcie_write_config(struct pci_bus *bus, unsigned int devfn,
+                                   int where, int size, u32 val)
+{
+       void __iomem *addr;
+
+       if (!xilinx_pcie_valid_device(bus, devfn))
+               return PCIBIOS_DEVICE_NOT_FOUND;
+
+       addr = xilinx_pcie_config_base(bus, devfn, where);
+
+       switch (size) {
+       case 1:
+               writeb(val, addr);
+               break;
+       case 2:
+               writew(val, addr);
+               break;
+       default:
+               writel(val, addr);
+               break;
+       }
+
+       return PCIBIOS_SUCCESSFUL;
+}
+
+/* PCIe operations */
+static struct pci_ops xilinx_pcie_ops = {
+       .read  = xilinx_pcie_read_config,
+       .write = xilinx_pcie_write_config,
+};
+
+/* MSI functions */
+
+/**
+ * xilinx_pcie_destroy_msi - Free MSI number
+ * @irq: IRQ to be freed
+ */
+static void xilinx_pcie_destroy_msi(unsigned int irq)
+{
+       struct irq_desc *desc;
+       struct msi_desc *msi;
+       struct xilinx_pcie_port *port;
+
+       desc = irq_to_desc(irq);
+       msi = irq_desc_get_msi_desc(desc);
+       port = sys_to_pcie(msi->dev->bus->sysdata);
+
+       if (!test_bit(irq, msi_irq_in_use))
+               dev_err(port->dev, "Trying to free unused MSI#%d\n", irq);
+       else
+               clear_bit(irq, msi_irq_in_use);
+}
+
+/**
+ * xilinx_pcie_assign_msi - Allocate MSI number
+ * @port: PCIe port structure
+ *
+ * Return: A valid IRQ on success and error value on failure.
+ */
+static int xilinx_pcie_assign_msi(struct xilinx_pcie_port *port)
+{
+       int pos;
+
+       pos = find_first_zero_bit(msi_irq_in_use, XILINX_NUM_MSI_IRQS);
+       if (pos < XILINX_NUM_MSI_IRQS)
+               set_bit(pos, msi_irq_in_use);
+       else
+               return -ENOSPC;
+
+       return pos;
+}
+
+/**
+ * xilinx_msi_teardown_irq - Destroy the MSI
+ * @chip: MSI Chip descriptor
+ * @irq: MSI IRQ to destroy
+ */
+static void xilinx_msi_teardown_irq(struct msi_chip *chip, unsigned int irq)
+{
+       xilinx_pcie_destroy_msi(irq);
+}
+
+/**
+ * xilinx_pcie_msi_setup_irq - Setup MSI request
+ * @chip: MSI chip pointer
+ * @pdev: PCIe device pointer
+ * @desc: MSI descriptor pointer
+ *
+ * Return: '0' on success and error value on failure
+ */
+static int xilinx_pcie_msi_setup_irq(struct msi_chip *chip,
+                                    struct pci_dev *pdev,
+                                    struct msi_desc *desc)
+{
+       struct xilinx_pcie_port *port = sys_to_pcie(pdev->bus->sysdata);
+       unsigned int irq;
+       int hwirq;
+       struct msi_msg msg;
+       phys_addr_t msg_addr;
+
+       hwirq = xilinx_pcie_assign_msi(port);
+       if (hwirq < 0)
+               return hwirq;
+
+       irq = irq_create_mapping(port->irq_domain, hwirq);
+       if (!irq)
+               return -EINVAL;
+
+       irq_set_msi_desc(irq, desc);
+
+       msg_addr = virt_to_phys((void *)port->msi_pages);
+
+       msg.address_hi = 0;
+       msg.address_lo = msg_addr;
+       msg.data = irq;
+
+       write_msi_msg(irq, &msg);
+
+       return 0;
+}
+
+/* MSI Chip Descriptor */
+static struct msi_chip xilinx_pcie_msi_chip = {
+       .setup_irq = xilinx_pcie_msi_setup_irq,
+       .teardown_irq = xilinx_msi_teardown_irq,
+};
+
+/* HW Interrupt Chip Descriptor */
+static struct irq_chip xilinx_msi_irq_chip = {
+       .name = "Xilinx PCIe MSI",
+       .irq_enable = unmask_msi_irq,
+       .irq_disable = mask_msi_irq,
+       .irq_mask = mask_msi_irq,
+       .irq_unmask = unmask_msi_irq,
+};
+
+/**
+ * xilinx_pcie_msi_map - Set the handler for the MSI and mark IRQ as valid
+ * @domain: IRQ domain
+ * @irq: Virtual IRQ number
+ * @hwirq: HW interrupt number
+ *
+ * Return: Always returns 0.
+ */
+static int xilinx_pcie_msi_map(struct irq_domain *domain, unsigned int irq,
+                              irq_hw_number_t hwirq)
+{
+       irq_set_chip_and_handler(irq, &xilinx_msi_irq_chip, handle_simple_irq);
+       irq_set_chip_data(irq, domain->host_data);
+       set_irq_flags(irq, IRQF_VALID);
+
+       return 0;
+}
+
+/* IRQ Domain operations */
+static const struct irq_domain_ops msi_domain_ops = {
+       .map = xilinx_pcie_msi_map,
+};
+
+/**
+ * xilinx_pcie_enable_msi - Enable MSI support
+ * @port: PCIe port information
+ */
+static void xilinx_pcie_enable_msi(struct xilinx_pcie_port *port)
+{
+       phys_addr_t msg_addr;
+
+       port->msi_pages = __get_free_pages(GFP_KERNEL, 0);
+       msg_addr = virt_to_phys((void *)port->msi_pages);
+       pcie_write(port, 0x0, XILINX_PCIE_REG_MSIBASE1);
+       pcie_write(port, msg_addr, XILINX_PCIE_REG_MSIBASE2);
+}
+
+/**
+ * xilinx_pcie_add_bus - Add MSI chip info to PCIe bus
+ * @bus: PCIe bus
+ */
+static void xilinx_pcie_add_bus(struct pci_bus *bus)
+{
+       if (IS_ENABLED(CONFIG_PCI_MSI)) {
+               struct xilinx_pcie_port *port = sys_to_pcie(bus->sysdata);
+
+               xilinx_pcie_msi_chip.dev = port->dev;
+               bus->msi = &xilinx_pcie_msi_chip;
+       }
+}
+
+/* INTx Functions */
+
+/**
+ * xilinx_pcie_intx_map - Set the handler for the INTx and mark IRQ as valid
+ * @domain: IRQ domain
+ * @irq: Virtual IRQ number
+ * @hwirq: HW interrupt number
+ *
+ * Return: Always returns 0.
+ */
+static int xilinx_pcie_intx_map(struct irq_domain *domain, unsigned int irq,
+                               irq_hw_number_t hwirq)
+{
+       irq_set_chip_and_handler(irq, &dummy_irq_chip, handle_simple_irq);
+       irq_set_chip_data(irq, domain->host_data);
+       set_irq_flags(irq, IRQF_VALID);
+
+       return 0;
+}
+
+/* INTx IRQ Domain operations */
+static const struct irq_domain_ops intx_domain_ops = {
+       .map = xilinx_pcie_intx_map,
+};
+
+/* PCIe HW Functions */
+
+/**
+ * xilinx_pcie_intr_handler - Interrupt Service Handler
+ * @irq: IRQ number
+ * @data: PCIe port information
+ *
+ * Return: IRQ_HANDLED on success and IRQ_NONE on failure
+ */
+static irqreturn_t xilinx_pcie_intr_handler(int irq, void *data)
+{
+       struct xilinx_pcie_port *port = (struct xilinx_pcie_port *)data;
+       u32 val, mask, status, msi_data;
+
+       /* Read interrupt decode and mask registers */
+       val = pcie_read(port, XILINX_PCIE_REG_IDR);
+       mask = pcie_read(port, XILINX_PCIE_REG_IMR);
+
+       status = val & mask;
+       if (!status)
+               return IRQ_NONE;
+
+       if (status & XILINX_PCIE_INTR_LINK_DOWN)
+               dev_warn(port->dev, "Link Down\n");
+
+       if (status & XILINX_PCIE_INTR_ECRC_ERR)
+               dev_warn(port->dev, "ECRC failed\n");
+
+       if (status & XILINX_PCIE_INTR_STR_ERR)
+               dev_warn(port->dev, "Streaming error\n");
+
+       if (status & XILINX_PCIE_INTR_HOT_RESET)
+               dev_info(port->dev, "Hot reset\n");
+
+       if (status & XILINX_PCIE_INTR_CFG_TIMEOUT)
+               dev_warn(port->dev, "ECAM access timeout\n");
+
+       if (status & XILINX_PCIE_INTR_CORRECTABLE) {
+               dev_warn(port->dev, "Correctable error message\n");
+               xilinx_pcie_clear_err_interrupts(port);
+       }
+
+       if (status & XILINX_PCIE_INTR_NONFATAL) {
+               dev_warn(port->dev, "Non fatal error message\n");
+               xilinx_pcie_clear_err_interrupts(port);
+       }
+
+       if (status & XILINX_PCIE_INTR_FATAL) {
+               dev_warn(port->dev, "Fatal error message\n");
+               xilinx_pcie_clear_err_interrupts(port);
+       }
+
+       if (status & XILINX_PCIE_INTR_INTX) {
+               /* INTx interrupt received */
+               val = pcie_read(port, XILINX_PCIE_REG_RPIFR1);
+
+               /* Check whether interrupt valid */
+               if (!(val & XILINX_PCIE_RPIFR1_INTR_VALID)) {
+                       dev_warn(port->dev, "RP Intr FIFO1 read error\n");
+                       return IRQ_HANDLED;
+               }
+
+               /* Clear interrupt FIFO register 1 */
+               pcie_write(port, XILINX_PCIE_RPIFR1_ALL_MASK,
+                          XILINX_PCIE_REG_RPIFR1);
+
+               /* Handle INTx Interrupt */
+               val = ((val & XILINX_PCIE_RPIFR1_INTR_MASK) >>
+                       XILINX_PCIE_RPIFR1_INTR_SHIFT) + 1;
+               generic_handle_irq(irq_find_mapping(port->irq_domain, val));
+       }
+
+       if (status & XILINX_PCIE_INTR_MSI) {
+               /* MSI Interrupt */
+               val = pcie_read(port, XILINX_PCIE_REG_RPIFR1);
+
+               if (!(val & XILINX_PCIE_RPIFR1_INTR_VALID)) {
+                       dev_warn(port->dev, "RP Intr FIFO1 read error\n");
+                       return IRQ_HANDLED;
+               }
+
+               if (val & XILINX_PCIE_RPIFR1_MSI_INTR) {
+                       msi_data = pcie_read(port, XILINX_PCIE_REG_RPIFR2) &
+                                  XILINX_PCIE_RPIFR2_MSG_DATA;
+
+                       /* Clear interrupt FIFO register 1 */
+                       pcie_write(port, XILINX_PCIE_RPIFR1_ALL_MASK,
+                                  XILINX_PCIE_REG_RPIFR1);
+
+                       if (IS_ENABLED(CONFIG_PCI_MSI)) {
+                               /* Handle MSI Interrupt */
+                               generic_handle_irq(msi_data);
+                       }
+               }
+       }
+
+       if (status & XILINX_PCIE_INTR_SLV_UNSUPP)
+               dev_warn(port->dev, "Slave unsupported request\n");
+
+       if (status & XILINX_PCIE_INTR_SLV_UNEXP)
+               dev_warn(port->dev, "Slave unexpected completion\n");
+
+       if (status & XILINX_PCIE_INTR_SLV_COMPL)
+               dev_warn(port->dev, "Slave completion timeout\n");
+
+       if (status & XILINX_PCIE_INTR_SLV_ERRP)
+               dev_warn(port->dev, "Slave Error Poison\n");
+
+       if (status & XILINX_PCIE_INTR_SLV_CMPABT)
+               dev_warn(port->dev, "Slave Completer Abort\n");
+
+       if (status & XILINX_PCIE_INTR_SLV_ILLBUR)
+               dev_warn(port->dev, "Slave Illegal Burst\n");
+
+       if (status & XILINX_PCIE_INTR_MST_DECERR)
+               dev_warn(port->dev, "Master decode error\n");
+
+       if (status & XILINX_PCIE_INTR_MST_SLVERR)
+               dev_warn(port->dev, "Master slave error\n");
+
+       if (status & XILINX_PCIE_INTR_MST_ERRP)
+               dev_warn(port->dev, "Master error poison\n");
+
+       /* Clear the Interrupt Decode register */
+       pcie_write(port, status, XILINX_PCIE_REG_IDR);
+
+       return IRQ_HANDLED;
+}
+
+/**
+ * xilinx_pcie_free_irq_domain - Free IRQ domain
+ * @port: PCIe port information
+ */
+static void xilinx_pcie_free_irq_domain(struct xilinx_pcie_port *port)
+{
+       int i;
+       u32 irq, num_irqs;
+
+       /* Free IRQ Domain */
+       if (IS_ENABLED(CONFIG_PCI_MSI)) {
+
+               free_pages(port->msi_pages, 0);
+
+               num_irqs = XILINX_NUM_MSI_IRQS;
+       } else {
+               /* INTx */
+               num_irqs = 4;
+       }
+
+       for (i = 0; i < num_irqs; i++) {
+               irq = irq_find_mapping(port->irq_domain, i);
+               if (irq > 0)
+                       irq_dispose_mapping(irq);
+       }
+
+       irq_domain_remove(port->irq_domain);
+}
+
+/**
+ * xilinx_pcie_init_irq_domain - Initialize IRQ domain
+ * @port: PCIe port information
+ *
+ * Return: '0' on success and error value on failure
+ */
+static int xilinx_pcie_init_irq_domain(struct xilinx_pcie_port *port)
+{
+       struct device *dev = port->dev;
+       struct device_node *node = dev->of_node;
+       struct device_node *pcie_intc_node;
+
+       /* Setup INTx */
+       pcie_intc_node = of_get_next_child(node, NULL);
+       if (!pcie_intc_node) {
+               dev_err(dev, "No PCIe Intc node found\n");
+               return PTR_ERR(pcie_intc_node);
+       }
+
+       port->irq_domain = irq_domain_add_linear(pcie_intc_node, 4,
+                                                &intx_domain_ops,
+                                                port);
+       if (!port->irq_domain) {
+               dev_err(dev, "Failed to get a INTx IRQ domain\n");
+               return PTR_ERR(port->irq_domain);
+       }
+
+       /* Setup MSI */
+       if (IS_ENABLED(CONFIG_PCI_MSI)) {
+               port->irq_domain = irq_domain_add_linear(node,
+                                                        XILINX_NUM_MSI_IRQS,
+                                                        &msi_domain_ops,
+                                                        &xilinx_pcie_msi_chip);
+               if (!port->irq_domain) {
+                       dev_err(dev, "Failed to get a MSI IRQ domain\n");
+                       return PTR_ERR(port->irq_domain);
+               }
+
+               xilinx_pcie_enable_msi(port);
+       }
+
+       return 0;
+}
+
+/**
+ * xilinx_pcie_init_port - Initialize hardware
+ * @port: PCIe port information
+ */
+static void xilinx_pcie_init_port(struct xilinx_pcie_port *port)
+{
+       if (xilinx_pcie_link_is_up(port))
+               dev_info(port->dev, "PCIe Link is UP\n");
+       else
+               dev_info(port->dev, "PCIe Link is DOWN\n");
+
+       /* Disable all interrupts */
+       pcie_write(port, ~XILINX_PCIE_IDR_ALL_MASK,
+                  XILINX_PCIE_REG_IMR);
+
+       /* Clear pending interrupts */
+       pcie_write(port, pcie_read(port, XILINX_PCIE_REG_IDR) &
+                        XILINX_PCIE_IMR_ALL_MASK,
+                  XILINX_PCIE_REG_IDR);
+
+       /* Enable all interrupts */
+       pcie_write(port, XILINX_PCIE_IMR_ALL_MASK, XILINX_PCIE_REG_IMR);
+
+       /* Enable the Bridge enable bit */
+       pcie_write(port, pcie_read(port, XILINX_PCIE_REG_RPSC) |
+                        XILINX_PCIE_REG_RPSC_BEN,
+                  XILINX_PCIE_REG_RPSC);
+}
+
+/**
+ * xilinx_pcie_setup - Setup memory resources
+ * @nr: Bus number
+ * @sys: Per controller structure
+ *
+ * Return: '1' on success and error value on failure
+ */
+static int xilinx_pcie_setup(int nr, struct pci_sys_data *sys)
+{
+       struct xilinx_pcie_port *port = sys_to_pcie(sys);
+
+       list_splice_init(&port->resources, &sys->resources);
+
+       return 1;
+}
+
+/**
+ * xilinx_pcie_scan_bus - Scan PCIe bus for devices
+ * @nr: Bus number
+ * @sys: Per controller structure
+ *
+ * Return: Valid Bus pointer on success and NULL on failure
+ */
+static struct pci_bus *xilinx_pcie_scan_bus(int nr, struct pci_sys_data *sys)
+{
+       struct xilinx_pcie_port *port = sys_to_pcie(sys);
+       struct pci_bus *bus;
+
+       port->root_busno = sys->busnr;
+       bus = pci_scan_root_bus(port->dev, sys->busnr, &xilinx_pcie_ops,
+                               sys, &sys->resources);
+
+       return bus;
+}
+
+/**
+ * xilinx_pcie_parse_and_add_res - Add resources by parsing ranges
+ * @port: PCIe port information
+ *
+ * Return: '0' on success and error value on failure
+ */
+static int xilinx_pcie_parse_and_add_res(struct xilinx_pcie_port *port)
+{
+       struct device *dev = port->dev;
+       struct device_node *node = dev->of_node;
+       struct resource *mem;
+       resource_size_t offset;
+       struct of_pci_range_parser parser;
+       struct of_pci_range range;
+       struct pci_host_bridge_window *win;
+       int err = 0, mem_resno = 0;
+
+       /* Get the ranges */
+       if (of_pci_range_parser_init(&parser, node)) {
+               dev_err(dev, "missing \"ranges\" property\n");
+               return -EINVAL;
+       }
+
+       /* Parse the ranges and add the resources found to the list */
+       for_each_of_pci_range(&parser, &range) {
+
+               if (mem_resno >= XILINX_MAX_NUM_RESOURCES) {
+                       dev_err(dev, "Maximum memory resources exceeded\n");
+                       return -EINVAL;
+               }
+
+               mem = devm_kmalloc(dev, sizeof(*mem), GFP_KERNEL);
+               if (!mem) {
+                       err = -ENOMEM;
+                       goto free_resources;
+               }
+
+               of_pci_range_to_resource(&range, node, mem);
+
+               switch (mem->flags & IORESOURCE_TYPE_BITS) {
+               case IORESOURCE_MEM:
+                       offset = range.cpu_addr - range.pci_addr;
+                       mem_resno++;
+                       break;
+               default:
+                       err = -EINVAL;
+                       break;
+               }
+
+               if (err < 0) {
+                       dev_warn(dev, "Invalid resource found %pR\n", mem);
+                       continue;
+               }
+
+               err = request_resource(&iomem_resource, mem);
+               if (err)
+                       goto free_resources;
+
+               pci_add_resource_offset(&port->resources, mem, offset);
+       }
+
+       /* Get the bus range */
+       if (of_pci_parse_bus_range(node, &port->bus_range)) {
+               u32 val = pcie_read(port, XILINX_PCIE_REG_BIR);
+               u8 last;
+
+               last = (val & XILINX_PCIE_BIR_ECAM_SZ_MASK) >>
+                       XILINX_PCIE_BIR_ECAM_SZ_SHIFT;
+
+               port->bus_range = (struct resource) {
+                       .name   = node->name,
+                       .start  = 0,
+                       .end    = last,
+                       .flags  = IORESOURCE_BUS,
+               };
+       }
+
+       /* Register bus resource */
+       pci_add_resource(&port->resources, &port->bus_range);
+
+       return 0;
+
+free_resources:
+       release_child_resources(&iomem_resource);
+       list_for_each_entry(win, &port->resources, list)
+               devm_kfree(dev, win->res);
+       pci_free_resource_list(&port->resources);
+
+       return err;
+}
+
+/**
+ * xilinx_pcie_parse_dt - Parse Device tree
+ * @port: PCIe port information
+ *
+ * Return: '0' on success and error value on failure
+ */
+static int xilinx_pcie_parse_dt(struct xilinx_pcie_port *port)
+{
+       struct device *dev = port->dev;
+       struct device_node *node = dev->of_node;
+       struct resource regs;
+       const char *type;
+       int err;
+
+       type = of_get_property(node, "device_type", NULL);
+       if (!type || strcmp(type, "pci")) {
+               dev_err(dev, "invalid \"device_type\" %s\n", type);
+               return -EINVAL;
+       }
+
+       err = of_address_to_resource(node, 0, &regs);
+       if (err) {
+               dev_err(dev, "missing \"reg\" property\n");
+               return err;
+       }
+
+       port->reg_base = devm_ioremap_resource(dev, &regs);
+       if (IS_ERR(port->reg_base))
+               return PTR_ERR(port->reg_base);
+
+       port->irq = irq_of_parse_and_map(node, 0);
+       err = devm_request_irq(dev, port->irq, xilinx_pcie_intr_handler,
+                              IRQF_SHARED, "xilinx-pcie", port);
+       if (err) {
+               dev_err(dev, "unable to request irq %d\n", port->irq);
+               return err;
+       }
+
+       return 0;
+}
+
+/**
+ * xilinx_pcie_probe - Probe function
+ * @pdev: Platform device pointer
+ *
+ * Return: '0' on success and error value on failure
+ */
+static int xilinx_pcie_probe(struct platform_device *pdev)
+{
+       struct xilinx_pcie_port *port;
+       struct hw_pci hw;
+       struct device *dev = &pdev->dev;
+       int err;
+
+       if (!dev->of_node)
+               return -ENODEV;
+
+       port = devm_kzalloc(dev, sizeof(*port), GFP_KERNEL);
+       if (!port)
+               return -ENOMEM;
+
+       port->dev = dev;
+
+       err = xilinx_pcie_parse_dt(port);
+       if (err) {
+               dev_err(dev, "Parsing DT failed\n");
+               return err;
+       }
+
+       xilinx_pcie_init_port(port);
+
+       err = xilinx_pcie_init_irq_domain(port);
+       if (err) {
+               dev_err(dev, "Failed creating IRQ Domain\n");
+               return err;
+       }
+
+       /*
+        * Parse PCI ranges, configuration bus range and
+        * request their resources
+        */
+       INIT_LIST_HEAD(&port->resources);
+       err = xilinx_pcie_parse_and_add_res(port);
+       if (err) {
+               dev_err(dev, "Failed adding resources\n");
+               return err;
+       }
+
+       platform_set_drvdata(pdev, port);
+
+       /* Register the device */
+       memset(&hw, 0, sizeof(hw));
+       hw = (struct hw_pci) {
+               .nr_controllers = 1,
+               .private_data   = (void **)&port,
+               .setup          = xilinx_pcie_setup,
+               .map_irq        = of_irq_parse_and_map_pci,
+               .add_bus        = xilinx_pcie_add_bus,
+               .scan           = xilinx_pcie_scan_bus,
+               .ops            = &xilinx_pcie_ops,
+       };
+       pci_common_init_dev(dev, &hw);
+
+       return 0;
+}
+
+/**
+ * xilinx_pcie_remove - Remove function
+ * @pdev: Platform device pointer
+ *
+ * Return: '0' always
+ */
+static int xilinx_pcie_remove(struct platform_device *pdev)
+{
+       struct xilinx_pcie_port *port = platform_get_drvdata(pdev);
+
+       xilinx_pcie_free_irq_domain(port);
+
+       return 0;
+}
+
+static struct of_device_id xilinx_pcie_of_match[] = {
+       { .compatible = "xlnx,axi-pcie-host-1.00.a", },
+       {}
+};
+
+static struct platform_driver xilinx_pcie_driver = {
+       .driver = {
+               .name = "xilinx-pcie",
+               .owner = THIS_MODULE,
+               .of_match_table = xilinx_pcie_of_match,
+               .suppress_bind_attrs = true,
+       },
+       .probe = xilinx_pcie_probe,
+       .remove = xilinx_pcie_remove,
+};
+module_platform_driver(xilinx_pcie_driver);
+
+MODULE_AUTHOR("Xilinx Inc");
+MODULE_DESCRIPTION("Xilinx AXI PCIe driver");
+MODULE_LICENSE("GPL v2");
index 3e6532b945c1829663ca5d5b6ff9bdb24b944f16..4a9aa08b08f13600ecad592eb7e452c8ed4132b9 100644 (file)
@@ -24,7 +24,7 @@ obj-$(CONFIG_HOTPLUG_PCI_S390)                += s390_pci_hpc.o
 
 obj-$(CONFIG_HOTPLUG_PCI_ACPI_IBM)     += acpiphp_ibm.o
 
-pci_hotplug-objs       :=      pci_hotplug_core.o pcihp_slot.o
+pci_hotplug-objs       :=      pci_hotplug_core.o
 
 ifdef CONFIG_HOTPLUG_PCI_CPCI
 pci_hotplug-objs       +=      cpci_hotplug_core.o     \
index 2cac54802567ece345141f5cb2eb2888781d4077..876ccc620440e69b884a96b07f2355f346cac2dd 100644 (file)
 
 static bool debug_acpi;
 
-static acpi_status
-decode_type0_hpx_record(union acpi_object *record, struct hotplug_params *hpx)
-{
-       int i;
-       union acpi_object *fields = record->package.elements;
-       u32 revision = fields[1].integer.value;
-
-       switch (revision) {
-       case 1:
-               if (record->package.count != 6)
-                       return AE_ERROR;
-               for (i = 2; i < 6; i++)
-                       if (fields[i].type != ACPI_TYPE_INTEGER)
-                               return AE_ERROR;
-               hpx->t0 = &hpx->type0_data;
-               hpx->t0->revision        = revision;
-               hpx->t0->cache_line_size = fields[2].integer.value;
-               hpx->t0->latency_timer   = fields[3].integer.value;
-               hpx->t0->enable_serr     = fields[4].integer.value;
-               hpx->t0->enable_perr     = fields[5].integer.value;
-               break;
-       default:
-               printk(KERN_WARNING
-                      "%s: Type 0 Revision %d record not supported\n",
-                      __func__, revision);
-               return AE_ERROR;
-       }
-       return AE_OK;
-}
-
-static acpi_status
-decode_type1_hpx_record(union acpi_object *record, struct hotplug_params *hpx)
-{
-       int i;
-       union acpi_object *fields = record->package.elements;
-       u32 revision = fields[1].integer.value;
-
-       switch (revision) {
-       case 1:
-               if (record->package.count != 5)
-                       return AE_ERROR;
-               for (i = 2; i < 5; i++)
-                       if (fields[i].type != ACPI_TYPE_INTEGER)
-                               return AE_ERROR;
-               hpx->t1 = &hpx->type1_data;
-               hpx->t1->revision      = revision;
-               hpx->t1->max_mem_read  = fields[2].integer.value;
-               hpx->t1->avg_max_split = fields[3].integer.value;
-               hpx->t1->tot_max_split = fields[4].integer.value;
-               break;
-       default:
-               printk(KERN_WARNING
-                      "%s: Type 1 Revision %d record not supported\n",
-                      __func__, revision);
-               return AE_ERROR;
-       }
-       return AE_OK;
-}
-
-static acpi_status
-decode_type2_hpx_record(union acpi_object *record, struct hotplug_params *hpx)
-{
-       int i;
-       union acpi_object *fields = record->package.elements;
-       u32 revision = fields[1].integer.value;
-
-       switch (revision) {
-       case 1:
-               if (record->package.count != 18)
-                       return AE_ERROR;
-               for (i = 2; i < 18; i++)
-                       if (fields[i].type != ACPI_TYPE_INTEGER)
-                               return AE_ERROR;
-               hpx->t2 = &hpx->type2_data;
-               hpx->t2->revision      = revision;
-               hpx->t2->unc_err_mask_and      = fields[2].integer.value;
-               hpx->t2->unc_err_mask_or       = fields[3].integer.value;
-               hpx->t2->unc_err_sever_and     = fields[4].integer.value;
-               hpx->t2->unc_err_sever_or      = fields[5].integer.value;
-               hpx->t2->cor_err_mask_and      = fields[6].integer.value;
-               hpx->t2->cor_err_mask_or       = fields[7].integer.value;
-               hpx->t2->adv_err_cap_and       = fields[8].integer.value;
-               hpx->t2->adv_err_cap_or        = fields[9].integer.value;
-               hpx->t2->pci_exp_devctl_and    = fields[10].integer.value;
-               hpx->t2->pci_exp_devctl_or     = fields[11].integer.value;
-               hpx->t2->pci_exp_lnkctl_and    = fields[12].integer.value;
-               hpx->t2->pci_exp_lnkctl_or     = fields[13].integer.value;
-               hpx->t2->sec_unc_err_sever_and = fields[14].integer.value;
-               hpx->t2->sec_unc_err_sever_or  = fields[15].integer.value;
-               hpx->t2->sec_unc_err_mask_and  = fields[16].integer.value;
-               hpx->t2->sec_unc_err_mask_or   = fields[17].integer.value;
-               break;
-       default:
-               printk(KERN_WARNING
-                      "%s: Type 2 Revision %d record not supported\n",
-                      __func__, revision);
-               return AE_ERROR;
-       }
-       return AE_OK;
-}
-
-static acpi_status
-acpi_run_hpx(acpi_handle handle, struct hotplug_params *hpx)
-{
-       acpi_status status;
-       struct acpi_buffer buffer = {ACPI_ALLOCATE_BUFFER, NULL};
-       union acpi_object *package, *record, *fields;
-       u32 type;
-       int i;
-
-       /* Clear the return buffer with zeros */
-       memset(hpx, 0, sizeof(struct hotplug_params));
-
-       status = acpi_evaluate_object(handle, "_HPX", NULL, &buffer);
-       if (ACPI_FAILURE(status))
-               return status;
-
-       package = (union acpi_object *)buffer.pointer;
-       if (package->type != ACPI_TYPE_PACKAGE) {
-               status = AE_ERROR;
-               goto exit;
-       }
-
-       for (i = 0; i < package->package.count; i++) {
-               record = &package->package.elements[i];
-               if (record->type != ACPI_TYPE_PACKAGE) {
-                       status = AE_ERROR;
-                       goto exit;
-               }
-
-               fields = record->package.elements;
-               if (fields[0].type != ACPI_TYPE_INTEGER ||
-                   fields[1].type != ACPI_TYPE_INTEGER) {
-                       status = AE_ERROR;
-                       goto exit;
-               }
-
-               type = fields[0].integer.value;
-               switch (type) {
-               case 0:
-                       status = decode_type0_hpx_record(record, hpx);
-                       if (ACPI_FAILURE(status))
-                               goto exit;
-                       break;
-               case 1:
-                       status = decode_type1_hpx_record(record, hpx);
-                       if (ACPI_FAILURE(status))
-                               goto exit;
-                       break;
-               case 2:
-                       status = decode_type2_hpx_record(record, hpx);
-                       if (ACPI_FAILURE(status))
-                               goto exit;
-                       break;
-               default:
-                       printk(KERN_ERR "%s: Type %d record not supported\n",
-                              __func__, type);
-                       status = AE_ERROR;
-                       goto exit;
-               }
-       }
- exit:
-       kfree(buffer.pointer);
-       return status;
-}
-
-static acpi_status
-acpi_run_hpp(acpi_handle handle, struct hotplug_params *hpp)
-{
-       acpi_status status;
-       struct acpi_buffer buffer = { ACPI_ALLOCATE_BUFFER, NULL };
-       union acpi_object *package, *fields;
-       int i;
-
-       memset(hpp, 0, sizeof(struct hotplug_params));
-
-       status = acpi_evaluate_object(handle, "_HPP", NULL, &buffer);
-       if (ACPI_FAILURE(status))
-               return status;
-
-       package = (union acpi_object *) buffer.pointer;
-       if (package->type != ACPI_TYPE_PACKAGE ||
-           package->package.count != 4) {
-               status = AE_ERROR;
-               goto exit;
-       }
-
-       fields = package->package.elements;
-       for (i = 0; i < 4; i++) {
-               if (fields[i].type != ACPI_TYPE_INTEGER) {
-                       status = AE_ERROR;
-                       goto exit;
-               }
-       }
-
-       hpp->t0 = &hpp->type0_data;
-       hpp->t0->revision        = 1;
-       hpp->t0->cache_line_size = fields[0].integer.value;
-       hpp->t0->latency_timer   = fields[1].integer.value;
-       hpp->t0->enable_serr     = fields[2].integer.value;
-       hpp->t0->enable_perr     = fields[3].integer.value;
-
-exit:
-       kfree(buffer.pointer);
-       return status;
-}
-
-
-
 /* acpi_run_oshp - get control of hotplug from the firmware
  *
  * @handle - the handle of the hotplug controller.
@@ -283,48 +74,6 @@ static acpi_status acpi_run_oshp(acpi_handle handle)
        return status;
 }
 
-/* pci_get_hp_params
- *
- * @dev - the pci_dev for which we want parameters
- * @hpp - allocated by the caller
- */
-int pci_get_hp_params(struct pci_dev *dev, struct hotplug_params *hpp)
-{
-       acpi_status status;
-       acpi_handle handle, phandle;
-       struct pci_bus *pbus;
-
-       handle = NULL;
-       for (pbus = dev->bus; pbus; pbus = pbus->parent) {
-               handle = acpi_pci_get_bridge_handle(pbus);
-               if (handle)
-                       break;
-       }
-
-       /*
-        * _HPP settings apply to all child buses, until another _HPP is
-        * encountered. If we don't find an _HPP for the input pci dev,
-        * look for it in the parent device scope since that would apply to
-        * this pci dev.
-        */
-       while (handle) {
-               status = acpi_run_hpx(handle, hpp);
-               if (ACPI_SUCCESS(status))
-                       return 0;
-               status = acpi_run_hpp(handle, hpp);
-               if (ACPI_SUCCESS(status))
-                       return 0;
-               if (acpi_is_root_bridge(handle))
-                       break;
-               status = acpi_get_parent(handle, &phandle);
-               if (ACPI_FAILURE(status))
-                       break;
-               handle = phandle;
-       }
-       return -ENODEV;
-}
-EXPORT_SYMBOL_GPL(pci_get_hp_params);
-
 /**
  * acpi_get_hp_hw_control_from_firmware
  * @dev: the pci_dev of the bridge that has a hotplug controller
index 70741c8c46a0cae82069ea1eba5ffc8e98a3d834..a6f8e0ba0bfe35e2ae2277705c93aa2c38fb512a 100644 (file)
@@ -61,7 +61,6 @@ static DEFINE_MUTEX(bridge_mutex);
 static int acpiphp_hotplug_notify(struct acpi_device *adev, u32 type);
 static void acpiphp_post_dock_fixup(struct acpi_device *adev);
 static void acpiphp_sanitize_bus(struct pci_bus *bus);
-static void acpiphp_set_hpp_values(struct pci_bus *bus);
 static void hotplug_event(u32 type, struct acpiphp_context *context);
 static void free_bridge(struct kref *kref);
 
@@ -510,7 +509,7 @@ static void enable_slot(struct acpiphp_slot *slot)
        __pci_bus_assign_resources(bus, &add_list, NULL);
 
        acpiphp_sanitize_bus(bus);
-       acpiphp_set_hpp_values(bus);
+       pcie_bus_configure_settings(bus);
        acpiphp_set_acpi_region(slot);
 
        list_for_each_entry(dev, &bus->devices, bus_list) {
@@ -702,14 +701,6 @@ static void acpiphp_check_bridge(struct acpiphp_bridge *bridge)
        }
 }
 
-static void acpiphp_set_hpp_values(struct pci_bus *bus)
-{
-       struct pci_dev *dev;
-
-       list_for_each_entry(dev, &bus->devices, bus_list)
-               pci_configure_slot(dev);
-}
-
 /*
  * Remove devices for which we could not assign resources, call
  * arch specific code to fix-up the bus
index 9e5a9fbb93d778cd8e123e6bdc669c1587d1764f..b11521953485bb99892b85dd4c2c3e93378c2d56 100644 (file)
@@ -92,7 +92,7 @@ struct controller {
        struct slot *slot;
        wait_queue_head_t queue;        /* sleep & wake process */
        u32 slot_cap;
-       u32 slot_ctrl;
+       u16 slot_ctrl;
        struct timer_list poll_timer;
        unsigned long cmd_started;      /* jiffies */
        unsigned int cmd_busy:1;
index 07aa722bb12cd61a6a3a8767b2efe1dd826e6952..3a5e7e28b8740307efd8eb2aa5e69c9717cd0e81 100644 (file)
@@ -262,6 +262,13 @@ static int pciehp_probe(struct pcie_device *dev)
                goto err_out_none;
        }
 
+       if (!dev->port->subordinate) {
+               /* Can happen if we run out of bus numbers during probe */
+               dev_err(&dev->device,
+                       "Hotplug bridge without secondary bus, ignoring\n");
+               goto err_out_none;
+       }
+
        ctrl = pcie_init(dev);
        if (!ctrl) {
                dev_err(&dev->device, "Controller initialization failed\n");
index 9da84b8b27d8a4cdac4305cde5ac50d203b89583..f0dc6cb9c5bef70428e91355d5ba4f3ad4ad27ec 100644 (file)
@@ -171,9 +171,9 @@ static void pcie_wait_cmd(struct controller *ctrl)
         * interrupts.
         */
        if (!rc)
-               ctrl_info(ctrl, "Timeout on hotplug command %#010x (issued %u msec ago)\n",
+               ctrl_info(ctrl, "Timeout on hotplug command %#06x (issued %u msec ago)\n",
                          ctrl->slot_ctrl,
-                         jiffies_to_msecs(now - ctrl->cmd_started));
+                         jiffies_to_msecs(jiffies - ctrl->cmd_started));
 }
 
 /**
@@ -422,9 +422,9 @@ void pciehp_set_attention_status(struct slot *slot, u8 value)
        default:
                return;
        }
+       pcie_write_cmd(ctrl, slot_cmd, PCI_EXP_SLTCTL_AIC);
        ctrl_dbg(ctrl, "%s: SLOTCTRL %x write cmd %x\n", __func__,
                 pci_pcie_cap(ctrl->pcie->port) + PCI_EXP_SLTCTL, slot_cmd);
-       pcie_write_cmd(ctrl, slot_cmd, PCI_EXP_SLTCTL_AIC);
 }
 
 void pciehp_green_led_on(struct slot *slot)
@@ -602,6 +602,8 @@ void pcie_enable_notification(struct controller *ctrl)
                PCI_EXP_SLTCTL_DLLSCE);
 
        pcie_write_cmd(ctrl, cmd, mask);
+       ctrl_dbg(ctrl, "%s: SLOTCTRL %x write cmd %x\n", __func__,
+                pci_pcie_cap(ctrl->pcie->port) + PCI_EXP_SLTCTL, cmd);
 }
 
 static void pcie_disable_notification(struct controller *ctrl)
@@ -613,6 +615,8 @@ static void pcie_disable_notification(struct controller *ctrl)
                PCI_EXP_SLTCTL_HPIE | PCI_EXP_SLTCTL_CCIE |
                PCI_EXP_SLTCTL_DLLSCE);
        pcie_write_cmd(ctrl, 0, mask);
+       ctrl_dbg(ctrl, "%s: SLOTCTRL %x write cmd %x\n", __func__,
+                pci_pcie_cap(ctrl->pcie->port) + PCI_EXP_SLTCTL, 0);
 }
 
 /*
@@ -640,6 +644,8 @@ int pciehp_reset_slot(struct slot *slot, int probe)
        stat_mask |= PCI_EXP_SLTSTA_DLLSC;
 
        pcie_write_cmd(ctrl, 0, ctrl_mask);
+       ctrl_dbg(ctrl, "%s: SLOTCTRL %x write cmd %x\n", __func__,
+                pci_pcie_cap(ctrl->pcie->port) + PCI_EXP_SLTCTL, 0);
        if (pciehp_poll_mode)
                del_timer_sync(&ctrl->poll_timer);
 
@@ -647,6 +653,8 @@ int pciehp_reset_slot(struct slot *slot, int probe)
 
        pcie_capability_write_word(pdev, PCI_EXP_SLTSTA, stat_mask);
        pcie_write_cmd(ctrl, ctrl_mask, ctrl_mask);
+       ctrl_dbg(ctrl, "%s: SLOTCTRL %x write cmd %x\n", __func__,
+                pci_pcie_cap(ctrl->pcie->port) + PCI_EXP_SLTCTL, ctrl_mask);
        if (pciehp_poll_mode)
                int_poll_timeout(ctrl->poll_timer.data);
 
@@ -785,9 +793,6 @@ struct controller *pcie_init(struct pcie_device *dev)
                PCI_EXP_SLTSTA_MRLSC | PCI_EXP_SLTSTA_PDC |
                PCI_EXP_SLTSTA_CC | PCI_EXP_SLTSTA_DLLSC);
 
-       /* Disable software notification */
-       pcie_disable_notification(ctrl);
-
        ctrl_info(ctrl, "Slot #%d AttnBtn%c AttnInd%c PwrInd%c PwrCtrl%c MRL%c Interlock%c NoCompl%c LLActRep%c\n",
                (slot_cap & PCI_EXP_SLTCAP_PSN) >> 19,
                FLAG(slot_cap, PCI_EXP_SLTCAP_ABP),
index 5f871f4c4af10944e3417712f99194c2c1936349..9e69403be6327156c0a7a95fa8ce5b422aa60cb9 100644 (file)
@@ -65,14 +65,7 @@ int pciehp_configure_device(struct slot *p_slot)
                        pci_hp_add_bridge(dev);
 
        pci_assign_unassigned_bridge_resources(bridge);
-
-       list_for_each_entry(dev, &parent->devices, bus_list) {
-               if ((dev->class >> 16) == PCI_BASE_CLASS_DISPLAY)
-                       continue;
-
-               pci_configure_slot(dev);
-       }
-
+       pcie_bus_configure_settings(parent);
        pci_bus_add_devices(parent);
 
  out:
diff --git a/drivers/pci/hotplug/pcihp_slot.c b/drivers/pci/hotplug/pcihp_slot.c
deleted file mode 100644 (file)
index e246a10..0000000
+++ /dev/null
@@ -1,180 +0,0 @@
-/*
- * Copyright (C) 1995,2001 Compaq Computer Corporation
- * Copyright (C) 2001 Greg Kroah-Hartman (greg@kroah.com)
- * Copyright (C) 2001 IBM Corp.
- * Copyright (C) 2003-2004 Intel Corporation
- * (c) Copyright 2009 Hewlett-Packard Development Company, L.P.
- *
- * All rights reserved.
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 2 of the License, or (at
- * your option) any later version.
- *
- * This program is distributed in the hope that it will be useful, but
- * WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE, GOOD TITLE or
- * NON INFRINGEMENT.  See the GNU General Public License for more
- * details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
- */
-
-#include <linux/pci.h>
-#include <linux/export.h>
-#include <linux/pci_hotplug.h>
-
-static struct hpp_type0 pci_default_type0 = {
-       .revision = 1,
-       .cache_line_size = 8,
-       .latency_timer = 0x40,
-       .enable_serr = 0,
-       .enable_perr = 0,
-};
-
-static void program_hpp_type0(struct pci_dev *dev, struct hpp_type0 *hpp)
-{
-       u16 pci_cmd, pci_bctl;
-
-       if (!hpp) {
-               /*
-                * Perhaps we *should* use default settings for PCIe, but
-                * pciehp didn't, so we won't either.
-                */
-               if (pci_is_pcie(dev))
-                       return;
-               dev_info(&dev->dev, "using default PCI settings\n");
-               hpp = &pci_default_type0;
-       }
-
-       if (hpp->revision > 1) {
-               dev_warn(&dev->dev,
-                        "PCI settings rev %d not supported; using defaults\n",
-                        hpp->revision);
-               hpp = &pci_default_type0;
-       }
-
-       pci_write_config_byte(dev, PCI_CACHE_LINE_SIZE, hpp->cache_line_size);
-       pci_write_config_byte(dev, PCI_LATENCY_TIMER, hpp->latency_timer);
-       pci_read_config_word(dev, PCI_COMMAND, &pci_cmd);
-       if (hpp->enable_serr)
-               pci_cmd |= PCI_COMMAND_SERR;
-       else
-               pci_cmd &= ~PCI_COMMAND_SERR;
-       if (hpp->enable_perr)
-               pci_cmd |= PCI_COMMAND_PARITY;
-       else
-               pci_cmd &= ~PCI_COMMAND_PARITY;
-       pci_write_config_word(dev, PCI_COMMAND, pci_cmd);
-
-       /* Program bridge control value */
-       if ((dev->class >> 8) == PCI_CLASS_BRIDGE_PCI) {
-               pci_write_config_byte(dev, PCI_SEC_LATENCY_TIMER,
-                                     hpp->latency_timer);
-               pci_read_config_word(dev, PCI_BRIDGE_CONTROL, &pci_bctl);
-               if (hpp->enable_serr)
-                       pci_bctl |= PCI_BRIDGE_CTL_SERR;
-               else
-                       pci_bctl &= ~PCI_BRIDGE_CTL_SERR;
-               if (hpp->enable_perr)
-                       pci_bctl |= PCI_BRIDGE_CTL_PARITY;
-               else
-                       pci_bctl &= ~PCI_BRIDGE_CTL_PARITY;
-               pci_write_config_word(dev, PCI_BRIDGE_CONTROL, pci_bctl);
-       }
-}
-
-static void program_hpp_type1(struct pci_dev *dev, struct hpp_type1 *hpp)
-{
-       if (hpp)
-               dev_warn(&dev->dev, "PCI-X settings not supported\n");
-}
-
-static void program_hpp_type2(struct pci_dev *dev, struct hpp_type2 *hpp)
-{
-       int pos;
-       u32 reg32;
-
-       if (!hpp)
-               return;
-
-       if (hpp->revision > 1) {
-               dev_warn(&dev->dev, "PCIe settings rev %d not supported\n",
-                        hpp->revision);
-               return;
-       }
-
-       /* Initialize Device Control Register */
-       pcie_capability_clear_and_set_word(dev, PCI_EXP_DEVCTL,
-                       ~hpp->pci_exp_devctl_and, hpp->pci_exp_devctl_or);
-
-       /* Initialize Link Control Register */
-       if (dev->subordinate)
-               pcie_capability_clear_and_set_word(dev, PCI_EXP_LNKCTL,
-                       ~hpp->pci_exp_lnkctl_and, hpp->pci_exp_lnkctl_or);
-
-       /* Find Advanced Error Reporting Enhanced Capability */
-       pos = pci_find_ext_capability(dev, PCI_EXT_CAP_ID_ERR);
-       if (!pos)
-               return;
-
-       /* Initialize Uncorrectable Error Mask Register */
-       pci_read_config_dword(dev, pos + PCI_ERR_UNCOR_MASK, &reg32);
-       reg32 = (reg32 & hpp->unc_err_mask_and) | hpp->unc_err_mask_or;
-       pci_write_config_dword(dev, pos + PCI_ERR_UNCOR_MASK, reg32);
-
-       /* Initialize Uncorrectable Error Severity Register */
-       pci_read_config_dword(dev, pos + PCI_ERR_UNCOR_SEVER, &reg32);
-       reg32 = (reg32 & hpp->unc_err_sever_and) | hpp->unc_err_sever_or;
-       pci_write_config_dword(dev, pos + PCI_ERR_UNCOR_SEVER, reg32);
-
-       /* Initialize Correctable Error Mask Register */
-       pci_read_config_dword(dev, pos + PCI_ERR_COR_MASK, &reg32);
-       reg32 = (reg32 & hpp->cor_err_mask_and) | hpp->cor_err_mask_or;
-       pci_write_config_dword(dev, pos + PCI_ERR_COR_MASK, reg32);
-
-       /* Initialize Advanced Error Capabilities and Control Register */
-       pci_read_config_dword(dev, pos + PCI_ERR_CAP, &reg32);
-       reg32 = (reg32 & hpp->adv_err_cap_and) | hpp->adv_err_cap_or;
-       pci_write_config_dword(dev, pos + PCI_ERR_CAP, reg32);
-
-       /*
-        * FIXME: The following two registers are not supported yet.
-        *
-        *   o Secondary Uncorrectable Error Severity Register
-        *   o Secondary Uncorrectable Error Mask Register
-        */
-}
-
-void pci_configure_slot(struct pci_dev *dev)
-{
-       struct pci_dev *cdev;
-       struct hotplug_params hpp;
-       int ret;
-
-       if (!(dev->hdr_type == PCI_HEADER_TYPE_NORMAL ||
-                       (dev->hdr_type == PCI_HEADER_TYPE_BRIDGE &&
-                       (dev->class >> 8) == PCI_CLASS_BRIDGE_PCI)))
-               return;
-
-       pcie_bus_configure_settings(dev->bus);
-
-       memset(&hpp, 0, sizeof(hpp));
-       ret = pci_get_hp_params(dev, &hpp);
-       if (ret)
-               dev_warn(&dev->dev, "no hotplug settings from platform\n");
-
-       program_hpp_type2(dev, hpp.t2);
-       program_hpp_type1(dev, hpp.t1);
-       program_hpp_type0(dev, hpp.t0);
-
-       if (dev->subordinate) {
-               list_for_each_entry(cdev, &dev->subordinate->devices,
-                                   bus_list)
-                       pci_configure_slot(cdev);
-       }
-}
-EXPORT_SYMBOL_GPL(pci_configure_slot);
index 469454e0cc48d8eca080dc2f5035f87d83769f53..f8cd3a27e3515e630639e86a8e58478517f5ec2a 100644 (file)
@@ -69,13 +69,7 @@ int shpchp_configure_device(struct slot *p_slot)
        }
 
        pci_assign_unassigned_bridge_resources(bridge);
-
-       list_for_each_entry(dev, &parent->devices, bus_list) {
-               if (PCI_SLOT(dev->devfn) != p_slot->device)
-                       continue;
-               pci_configure_slot(dev);
-       }
-
+       pcie_bus_configure_settings(parent);
        pci_bus_add_devices(parent);
 
  out:
index cb6f24740ee3e5b3f6763f7b25c2bf8700e6a1b2..4d109c07294a8d7326583e43ffb594569cf1c1e7 100644 (file)
@@ -633,7 +633,7 @@ int pci_vfs_assigned(struct pci_dev *dev)
                 * our dev as the physical function and the assigned bit is set
                 */
                if (vfdev->is_virtfn && (vfdev->physfn == dev) &&
-                   (vfdev->dev_flags & PCI_DEV_FLAGS_ASSIGNED))
+                       pci_is_dev_assigned(vfdev))
                        vfs_assigned++;
 
                vfdev = pci_get_device(dev->vendor, dev_id, vfdev);
index 37263b0ebfe32570f49a3df7bfc027ab6cd562e0..6ebf8edc5f3c5cbff635ec30cab5d342616b8ab8 100644 (file)
@@ -10,6 +10,7 @@
 #include <linux/delay.h>
 #include <linux/init.h>
 #include <linux/pci.h>
+#include <linux/pci_hotplug.h>
 #include <linux/module.h>
 #include <linux/pci-aspm.h>
 #include <linux/pci-acpi.h>
 #include <linux/pm_qos.h>
 #include "pci.h"
 
+phys_addr_t acpi_pci_root_get_mcfg_addr(acpi_handle handle)
+{
+       acpi_status status = AE_NOT_EXIST;
+       unsigned long long mcfg_addr;
+
+       if (handle)
+               status = acpi_evaluate_integer(handle, METHOD_NAME__CBA,
+                                              NULL, &mcfg_addr);
+       if (ACPI_FAILURE(status))
+               return 0;
+
+       return (phys_addr_t)mcfg_addr;
+}
+
+static acpi_status decode_type0_hpx_record(union acpi_object *record,
+                                          struct hotplug_params *hpx)
+{
+       int i;
+       union acpi_object *fields = record->package.elements;
+       u32 revision = fields[1].integer.value;
+
+       switch (revision) {
+       case 1:
+               if (record->package.count != 6)
+                       return AE_ERROR;
+               for (i = 2; i < 6; i++)
+                       if (fields[i].type != ACPI_TYPE_INTEGER)
+                               return AE_ERROR;
+               hpx->t0 = &hpx->type0_data;
+               hpx->t0->revision        = revision;
+               hpx->t0->cache_line_size = fields[2].integer.value;
+               hpx->t0->latency_timer   = fields[3].integer.value;
+               hpx->t0->enable_serr     = fields[4].integer.value;
+               hpx->t0->enable_perr     = fields[5].integer.value;
+               break;
+       default:
+               printk(KERN_WARNING
+                      "%s: Type 0 Revision %d record not supported\n",
+                      __func__, revision);
+               return AE_ERROR;
+       }
+       return AE_OK;
+}
+
+static acpi_status decode_type1_hpx_record(union acpi_object *record,
+                                          struct hotplug_params *hpx)
+{
+       int i;
+       union acpi_object *fields = record->package.elements;
+       u32 revision = fields[1].integer.value;
+
+       switch (revision) {
+       case 1:
+               if (record->package.count != 5)
+                       return AE_ERROR;
+               for (i = 2; i < 5; i++)
+                       if (fields[i].type != ACPI_TYPE_INTEGER)
+                               return AE_ERROR;
+               hpx->t1 = &hpx->type1_data;
+               hpx->t1->revision      = revision;
+               hpx->t1->max_mem_read  = fields[2].integer.value;
+               hpx->t1->avg_max_split = fields[3].integer.value;
+               hpx->t1->tot_max_split = fields[4].integer.value;
+               break;
+       default:
+               printk(KERN_WARNING
+                      "%s: Type 1 Revision %d record not supported\n",
+                      __func__, revision);
+               return AE_ERROR;
+       }
+       return AE_OK;
+}
+
+static acpi_status decode_type2_hpx_record(union acpi_object *record,
+                                          struct hotplug_params *hpx)
+{
+       int i;
+       union acpi_object *fields = record->package.elements;
+       u32 revision = fields[1].integer.value;
+
+       switch (revision) {
+       case 1:
+               if (record->package.count != 18)
+                       return AE_ERROR;
+               for (i = 2; i < 18; i++)
+                       if (fields[i].type != ACPI_TYPE_INTEGER)
+                               return AE_ERROR;
+               hpx->t2 = &hpx->type2_data;
+               hpx->t2->revision      = revision;
+               hpx->t2->unc_err_mask_and      = fields[2].integer.value;
+               hpx->t2->unc_err_mask_or       = fields[3].integer.value;
+               hpx->t2->unc_err_sever_and     = fields[4].integer.value;
+               hpx->t2->unc_err_sever_or      = fields[5].integer.value;
+               hpx->t2->cor_err_mask_and      = fields[6].integer.value;
+               hpx->t2->cor_err_mask_or       = fields[7].integer.value;
+               hpx->t2->adv_err_cap_and       = fields[8].integer.value;
+               hpx->t2->adv_err_cap_or        = fields[9].integer.value;
+               hpx->t2->pci_exp_devctl_and    = fields[10].integer.value;
+               hpx->t2->pci_exp_devctl_or     = fields[11].integer.value;
+               hpx->t2->pci_exp_lnkctl_and    = fields[12].integer.value;
+               hpx->t2->pci_exp_lnkctl_or     = fields[13].integer.value;
+               hpx->t2->sec_unc_err_sever_and = fields[14].integer.value;
+               hpx->t2->sec_unc_err_sever_or  = fields[15].integer.value;
+               hpx->t2->sec_unc_err_mask_and  = fields[16].integer.value;
+               hpx->t2->sec_unc_err_mask_or   = fields[17].integer.value;
+               break;
+       default:
+               printk(KERN_WARNING
+                      "%s: Type 2 Revision %d record not supported\n",
+                      __func__, revision);
+               return AE_ERROR;
+       }
+       return AE_OK;
+}
+
+static acpi_status acpi_run_hpx(acpi_handle handle, struct hotplug_params *hpx)
+{
+       acpi_status status;
+       struct acpi_buffer buffer = {ACPI_ALLOCATE_BUFFER, NULL};
+       union acpi_object *package, *record, *fields;
+       u32 type;
+       int i;
+
+       /* Clear the return buffer with zeros */
+       memset(hpx, 0, sizeof(struct hotplug_params));
+
+       status = acpi_evaluate_object(handle, "_HPX", NULL, &buffer);
+       if (ACPI_FAILURE(status))
+               return status;
+
+       package = (union acpi_object *)buffer.pointer;
+       if (package->type != ACPI_TYPE_PACKAGE) {
+               status = AE_ERROR;
+               goto exit;
+       }
+
+       for (i = 0; i < package->package.count; i++) {
+               record = &package->package.elements[i];
+               if (record->type != ACPI_TYPE_PACKAGE) {
+                       status = AE_ERROR;
+                       goto exit;
+               }
+
+               fields = record->package.elements;
+               if (fields[0].type != ACPI_TYPE_INTEGER ||
+                   fields[1].type != ACPI_TYPE_INTEGER) {
+                       status = AE_ERROR;
+                       goto exit;
+               }
+
+               type = fields[0].integer.value;
+               switch (type) {
+               case 0:
+                       status = decode_type0_hpx_record(record, hpx);
+                       if (ACPI_FAILURE(status))
+                               goto exit;
+                       break;
+               case 1:
+                       status = decode_type1_hpx_record(record, hpx);
+                       if (ACPI_FAILURE(status))
+                               goto exit;
+                       break;
+               case 2:
+                       status = decode_type2_hpx_record(record, hpx);
+                       if (ACPI_FAILURE(status))
+                               goto exit;
+                       break;
+               default:
+                       printk(KERN_ERR "%s: Type %d record not supported\n",
+                              __func__, type);
+                       status = AE_ERROR;
+                       goto exit;
+               }
+       }
+ exit:
+       kfree(buffer.pointer);
+       return status;
+}
+
+static acpi_status acpi_run_hpp(acpi_handle handle, struct hotplug_params *hpp)
+{
+       acpi_status status;
+       struct acpi_buffer buffer = { ACPI_ALLOCATE_BUFFER, NULL };
+       union acpi_object *package, *fields;
+       int i;
+
+       memset(hpp, 0, sizeof(struct hotplug_params));
+
+       status = acpi_evaluate_object(handle, "_HPP", NULL, &buffer);
+       if (ACPI_FAILURE(status))
+               return status;
+
+       package = (union acpi_object *) buffer.pointer;
+       if (package->type != ACPI_TYPE_PACKAGE ||
+           package->package.count != 4) {
+               status = AE_ERROR;
+               goto exit;
+       }
+
+       fields = package->package.elements;
+       for (i = 0; i < 4; i++) {
+               if (fields[i].type != ACPI_TYPE_INTEGER) {
+                       status = AE_ERROR;
+                       goto exit;
+               }
+       }
+
+       hpp->t0 = &hpp->type0_data;
+       hpp->t0->revision        = 1;
+       hpp->t0->cache_line_size = fields[0].integer.value;
+       hpp->t0->latency_timer   = fields[1].integer.value;
+       hpp->t0->enable_serr     = fields[2].integer.value;
+       hpp->t0->enable_perr     = fields[3].integer.value;
+
+exit:
+       kfree(buffer.pointer);
+       return status;
+}
+
+/* pci_get_hp_params
+ *
+ * @dev - the pci_dev for which we want parameters
+ * @hpp - allocated by the caller
+ */
+int pci_get_hp_params(struct pci_dev *dev, struct hotplug_params *hpp)
+{
+       acpi_status status;
+       acpi_handle handle, phandle;
+       struct pci_bus *pbus;
+
+       handle = NULL;
+       for (pbus = dev->bus; pbus; pbus = pbus->parent) {
+               handle = acpi_pci_get_bridge_handle(pbus);
+               if (handle)
+                       break;
+       }
+
+       /*
+        * _HPP settings apply to all child buses, until another _HPP is
+        * encountered. If we don't find an _HPP for the input pci dev,
+        * look for it in the parent device scope since that would apply to
+        * this pci dev.
+        */
+       while (handle) {
+               status = acpi_run_hpx(handle, hpp);
+               if (ACPI_SUCCESS(status))
+                       return 0;
+               status = acpi_run_hpp(handle, hpp);
+               if (ACPI_SUCCESS(status))
+                       return 0;
+               if (acpi_is_root_bridge(handle))
+                       break;
+               status = acpi_get_parent(handle, &phandle);
+               if (ACPI_FAILURE(status))
+                       break;
+               handle = phandle;
+       }
+       return -ENODEV;
+}
+EXPORT_SYMBOL_GPL(pci_get_hp_params);
+
 /**
  * pci_acpi_wake_bus - Root bus wakeup notification fork function.
  * @work: Work item to handle.
@@ -84,20 +346,6 @@ acpi_status pci_acpi_add_pm_notifier(struct acpi_device *dev,
        return acpi_add_pm_notifier(dev, &pci_dev->dev, pci_acpi_wake_dev);
 }
 
-phys_addr_t acpi_pci_root_get_mcfg_addr(acpi_handle handle)
-{
-       acpi_status status = AE_NOT_EXIST;
-       unsigned long long mcfg_addr;
-
-       if (handle)
-               status = acpi_evaluate_integer(handle, METHOD_NAME__CBA,
-                                              NULL, &mcfg_addr);
-       if (ACPI_FAILURE(status))
-               return 0;
-
-       return (phys_addr_t)mcfg_addr;
-}
-
 /*
  * _SxD returns the D-state with the highest power
  * (lowest D-state number) supported in the S-state "x".
index d04c5adafc1655624a528fb1a77b5f49fbbc3472..2b3c89425bb5d03296ceb7d5fa71213fdf5f8025 100644 (file)
@@ -55,7 +55,6 @@ int pci_add_dynid(struct pci_driver *drv,
                  unsigned long driver_data)
 {
        struct pci_dynid *dynid;
-       int retval;
 
        dynid = kzalloc(sizeof(*dynid), GFP_KERNEL);
        if (!dynid)
@@ -73,9 +72,7 @@ int pci_add_dynid(struct pci_driver *drv,
        list_add_tail(&dynid->node, &drv->dynids.list);
        spin_unlock(&drv->dynids.lock);
 
-       retval = driver_attach(&drv->driver);
-
-       return retval;
+       return driver_attach(&drv->driver);
 }
 EXPORT_SYMBOL_GPL(pci_add_dynid);
 
index 9ff0a901ecf7ed2691418845bf46d82bb2d68754..76ef7914c9aa22c03631579c3990e0cc228f5051 100644 (file)
@@ -177,7 +177,7 @@ static ssize_t modalias_show(struct device *dev, struct device_attribute *attr,
 {
        struct pci_dev *pci_dev = to_pci_dev(dev);
 
-       return sprintf(buf, "pci:v%08Xd%08Xsv%08Xsd%08Xbc%02Xsc%02Xi%02x\n",
+       return sprintf(buf, "pci:v%08Xd%08Xsv%08Xsd%08Xbc%02Xsc%02Xi%02X\n",
                       pci_dev->vendor, pci_dev->device,
                       pci_dev->subsystem_vendor, pci_dev->subsystem_device,
                       (u8)(pci_dev->class >> 16), (u8)(pci_dev->class >> 8),
index 8b63a5b11fc67856d018d5a3ec282812ba91e5c3..b7678be7b106cc3fb2fef47c373e6a16eb50330d 100644 (file)
@@ -1914,10 +1914,6 @@ int pci_prepare_to_sleep(struct pci_dev *dev)
        if (target_state == PCI_POWER_ERROR)
                return -EIO;
 
-       /* D3cold during system suspend/hibernate is not supported */
-       if (target_state > PCI_D3hot)
-               target_state = PCI_D3hot;
-
        pci_enable_wake(dev, target_state, device_may_wakeup(&dev->dev));
 
        error = pci_set_power_state(dev, target_state);
index 2ccc9b926ea77d4c38d2b3ffb6214e2bf6e682f9..be35da2e105e0b39449244416f8ba8934446f58a 100644 (file)
@@ -93,77 +93,6 @@ static int pcie_port_resume_noirq(struct device *dev)
        return 0;
 }
 
-#ifdef CONFIG_PM_RUNTIME
-struct d3cold_info {
-       bool no_d3cold;
-       unsigned int d3cold_delay;
-};
-
-static int pci_dev_d3cold_info(struct pci_dev *pdev, void *data)
-{
-       struct d3cold_info *info = data;
-
-       info->d3cold_delay = max_t(unsigned int, pdev->d3cold_delay,
-                                  info->d3cold_delay);
-       if (pdev->no_d3cold)
-               info->no_d3cold = true;
-       return 0;
-}
-
-static int pcie_port_runtime_suspend(struct device *dev)
-{
-       struct pci_dev *pdev = to_pci_dev(dev);
-       struct d3cold_info d3cold_info = {
-               .no_d3cold      = false,
-               .d3cold_delay   = PCI_PM_D3_WAIT,
-       };
-
-       /*
-        * If any subordinate device disable D3cold, we should not put
-        * the port into D3cold.  The D3cold delay of port should be
-        * the max of that of all subordinate devices.
-        */
-       pci_walk_bus(pdev->subordinate, pci_dev_d3cold_info, &d3cold_info);
-       pdev->no_d3cold = d3cold_info.no_d3cold;
-       pdev->d3cold_delay = d3cold_info.d3cold_delay;
-       return 0;
-}
-
-static int pcie_port_runtime_resume(struct device *dev)
-{
-       return 0;
-}
-
-static int pci_dev_pme_poll(struct pci_dev *pdev, void *data)
-{
-       bool *pme_poll = data;
-
-       if (pdev->pme_poll)
-               *pme_poll = true;
-       return 0;
-}
-
-static int pcie_port_runtime_idle(struct device *dev)
-{
-       struct pci_dev *pdev = to_pci_dev(dev);
-       bool pme_poll = false;
-
-       /*
-        * If any subordinate device needs pme poll, we should keep
-        * the port in D0, because we need port in D0 to poll it.
-        */
-       pci_walk_bus(pdev->subordinate, pci_dev_pme_poll, &pme_poll);
-       /* Delay for a short while to prevent too frequent suspend/resume */
-       if (!pme_poll)
-               pm_schedule_suspend(dev, 10);
-       return -EBUSY;
-}
-#else
-#define pcie_port_runtime_suspend      NULL
-#define pcie_port_runtime_resume       NULL
-#define pcie_port_runtime_idle         NULL
-#endif
-
 static const struct dev_pm_ops pcie_portdrv_pm_ops = {
        .suspend        = pcie_port_device_suspend,
        .resume         = pcie_port_device_resume,
@@ -172,9 +101,6 @@ static const struct dev_pm_ops pcie_portdrv_pm_ops = {
        .poweroff       = pcie_port_device_suspend,
        .restore        = pcie_port_device_resume,
        .resume_noirq   = pcie_port_resume_noirq,
-       .runtime_suspend = pcie_port_runtime_suspend,
-       .runtime_resume = pcie_port_runtime_resume,
-       .runtime_idle   = pcie_port_runtime_idle,
 };
 
 #define PCIE_PORTDRV_PM_OPS    (&pcie_portdrv_pm_ops)
index e3cf8a2e629216208750bc96ed84285e7a2c0118..c99c4d65461b046db7a57255ed9b30df21511446 100644 (file)
@@ -6,6 +6,7 @@
 #include <linux/delay.h>
 #include <linux/init.h>
 #include <linux/pci.h>
+#include <linux/pci_hotplug.h>
 #include <linux/slab.h>
 #include <linux/module.h>
 #include <linux/cpumask.h>
@@ -740,6 +741,17 @@ struct pci_bus *pci_add_new_bus(struct pci_bus *parent, struct pci_dev *dev,
 }
 EXPORT_SYMBOL(pci_add_new_bus);
 
+static void pci_enable_crs(struct pci_dev *pdev)
+{
+       u16 root_cap = 0;
+
+       /* Enable CRS Software Visibility if supported */
+       pcie_capability_read_word(pdev, PCI_EXP_RTCAP, &root_cap);
+       if (root_cap & PCI_EXP_RTCAP_CRSVIS)
+               pcie_capability_set_word(pdev, PCI_EXP_RTCTL,
+                                        PCI_EXP_RTCTL_CRSSVE);
+}
+
 /*
  * If it's a bridge, configure it and scan the bus behind it.
  * For CardBus bridges, we don't scan behind as the devices will
@@ -787,6 +799,8 @@ int pci_scan_bridge(struct pci_bus *bus, struct pci_dev *dev, int max, int pass)
        pci_write_config_word(dev, PCI_BRIDGE_CONTROL,
                              bctl & ~PCI_BRIDGE_CTL_MASTER_ABORT);
 
+       pci_enable_crs(dev);
+
        if ((secondary || subordinate) && !pcibios_assign_all_busses() &&
            !is_cardbus && !broken) {
                unsigned int cmax;
@@ -1236,6 +1250,137 @@ int pci_setup_device(struct pci_dev *dev)
        return 0;
 }
 
+static struct hpp_type0 pci_default_type0 = {
+       .revision = 1,
+       .cache_line_size = 8,
+       .latency_timer = 0x40,
+       .enable_serr = 0,
+       .enable_perr = 0,
+};
+
+static void program_hpp_type0(struct pci_dev *dev, struct hpp_type0 *hpp)
+{
+       u16 pci_cmd, pci_bctl;
+
+       if (!hpp)
+               hpp = &pci_default_type0;
+
+       if (hpp->revision > 1) {
+               dev_warn(&dev->dev,
+                        "PCI settings rev %d not supported; using defaults\n",
+                        hpp->revision);
+               hpp = &pci_default_type0;
+       }
+
+       pci_write_config_byte(dev, PCI_CACHE_LINE_SIZE, hpp->cache_line_size);
+       pci_write_config_byte(dev, PCI_LATENCY_TIMER, hpp->latency_timer);
+       pci_read_config_word(dev, PCI_COMMAND, &pci_cmd);
+       if (hpp->enable_serr)
+               pci_cmd |= PCI_COMMAND_SERR;
+       if (hpp->enable_perr)
+               pci_cmd |= PCI_COMMAND_PARITY;
+       pci_write_config_word(dev, PCI_COMMAND, pci_cmd);
+
+       /* Program bridge control value */
+       if ((dev->class >> 8) == PCI_CLASS_BRIDGE_PCI) {
+               pci_write_config_byte(dev, PCI_SEC_LATENCY_TIMER,
+                                     hpp->latency_timer);
+               pci_read_config_word(dev, PCI_BRIDGE_CONTROL, &pci_bctl);
+               if (hpp->enable_serr)
+                       pci_bctl |= PCI_BRIDGE_CTL_SERR;
+               if (hpp->enable_perr)
+                       pci_bctl |= PCI_BRIDGE_CTL_PARITY;
+               pci_write_config_word(dev, PCI_BRIDGE_CONTROL, pci_bctl);
+       }
+}
+
+static void program_hpp_type1(struct pci_dev *dev, struct hpp_type1 *hpp)
+{
+       if (hpp)
+               dev_warn(&dev->dev, "PCI-X settings not supported\n");
+}
+
+static void program_hpp_type2(struct pci_dev *dev, struct hpp_type2 *hpp)
+{
+       int pos;
+       u32 reg32;
+
+       if (!hpp)
+               return;
+
+       if (hpp->revision > 1) {
+               dev_warn(&dev->dev, "PCIe settings rev %d not supported\n",
+                        hpp->revision);
+               return;
+       }
+
+       /*
+        * Don't allow _HPX to change MPS or MRRS settings.  We manage
+        * those to make sure they're consistent with the rest of the
+        * platform.
+        */
+       hpp->pci_exp_devctl_and |= PCI_EXP_DEVCTL_PAYLOAD |
+                                   PCI_EXP_DEVCTL_READRQ;
+       hpp->pci_exp_devctl_or &= ~(PCI_EXP_DEVCTL_PAYLOAD |
+                                   PCI_EXP_DEVCTL_READRQ);
+
+       /* Initialize Device Control Register */
+       pcie_capability_clear_and_set_word(dev, PCI_EXP_DEVCTL,
+                       ~hpp->pci_exp_devctl_and, hpp->pci_exp_devctl_or);
+
+       /* Initialize Link Control Register */
+       if (dev->subordinate)
+               pcie_capability_clear_and_set_word(dev, PCI_EXP_LNKCTL,
+                       ~hpp->pci_exp_lnkctl_and, hpp->pci_exp_lnkctl_or);
+
+       /* Find Advanced Error Reporting Enhanced Capability */
+       pos = pci_find_ext_capability(dev, PCI_EXT_CAP_ID_ERR);
+       if (!pos)
+               return;
+
+       /* Initialize Uncorrectable Error Mask Register */
+       pci_read_config_dword(dev, pos + PCI_ERR_UNCOR_MASK, &reg32);
+       reg32 = (reg32 & hpp->unc_err_mask_and) | hpp->unc_err_mask_or;
+       pci_write_config_dword(dev, pos + PCI_ERR_UNCOR_MASK, reg32);
+
+       /* Initialize Uncorrectable Error Severity Register */
+       pci_read_config_dword(dev, pos + PCI_ERR_UNCOR_SEVER, &reg32);
+       reg32 = (reg32 & hpp->unc_err_sever_and) | hpp->unc_err_sever_or;
+       pci_write_config_dword(dev, pos + PCI_ERR_UNCOR_SEVER, reg32);
+
+       /* Initialize Correctable Error Mask Register */
+       pci_read_config_dword(dev, pos + PCI_ERR_COR_MASK, &reg32);
+       reg32 = (reg32 & hpp->cor_err_mask_and) | hpp->cor_err_mask_or;
+       pci_write_config_dword(dev, pos + PCI_ERR_COR_MASK, reg32);
+
+       /* Initialize Advanced Error Capabilities and Control Register */
+       pci_read_config_dword(dev, pos + PCI_ERR_CAP, &reg32);
+       reg32 = (reg32 & hpp->adv_err_cap_and) | hpp->adv_err_cap_or;
+       pci_write_config_dword(dev, pos + PCI_ERR_CAP, reg32);
+
+       /*
+        * FIXME: The following two registers are not supported yet.
+        *
+        *   o Secondary Uncorrectable Error Severity Register
+        *   o Secondary Uncorrectable Error Mask Register
+        */
+}
+
+static void pci_configure_device(struct pci_dev *dev)
+{
+       struct hotplug_params hpp;
+       int ret;
+
+       memset(&hpp, 0, sizeof(hpp));
+       ret = pci_get_hp_params(dev, &hpp);
+       if (ret)
+               return;
+
+       program_hpp_type2(dev, hpp.t2);
+       program_hpp_type1(dev, hpp.t1);
+       program_hpp_type0(dev, hpp.t0);
+}
+
 static void pci_release_capabilities(struct pci_dev *dev)
 {
        pci_vpd_release(dev);
@@ -1292,8 +1437,13 @@ bool pci_bus_read_dev_vendor_id(struct pci_bus *bus, int devfn, u32 *l,
            *l == 0x0000ffff || *l == 0xffff0000)
                return false;
 
-       /* Configuration request Retry Status */
-       while (*l == 0xffff0001) {
+       /*
+        * Configuration Request Retry Status.  Some root ports return the
+        * actual device ID instead of the synthetic ID (0xFFFF) required
+        * by the PCIe spec.  Ignore the device ID and only check for
+        * (vendor id == 1).
+        */
+       while ((*l & 0xffff) == 0x0001) {
                if (!crs_timeout)
                        return false;
 
@@ -1373,6 +1523,8 @@ void pci_device_add(struct pci_dev *dev, struct pci_bus *bus)
 {
        int ret;
 
+       pci_configure_device(dev);
+
        device_initialize(&dev->dev);
        dev->dev.release = pci_release_dev;
 
index 80c2d014283d12c86d83ad22e98531bc8121cdc3..95239e0cfee69cf9c2e990139ad95228afaf18bb 100644 (file)
@@ -24,6 +24,7 @@
 #include <linux/ioport.h>
 #include <linux/sched.h>
 #include <linux/ktime.h>
+#include <linux/mm.h>
 #include <asm/dma.h>   /* isa_dma_bridge_buggy */
 #include "pci.h"
 
@@ -287,6 +288,25 @@ static void quirk_citrine(struct pci_dev *dev)
 }
 DECLARE_PCI_FIXUP_HEADER(PCI_VENDOR_ID_IBM,    PCI_DEVICE_ID_IBM_CITRINE,      quirk_citrine);
 
+/*  On IBM Crocodile ipr SAS adapters, expand BAR to system page size */
+static void quirk_extend_bar_to_page(struct pci_dev *dev)
+{
+       int i;
+
+       for (i = 0; i < PCI_STD_RESOURCE_END; i++) {
+               struct resource *r = &dev->resource[i];
+
+               if (r->flags & IORESOURCE_MEM && resource_size(r) < PAGE_SIZE) {
+                       r->end = PAGE_SIZE - 1;
+                       r->start = 0;
+                       r->flags |= IORESOURCE_UNSET;
+                       dev_info(&dev->dev, "expanded BAR %d to page size: %pR\n",
+                                i, r);
+               }
+       }
+}
+DECLARE_PCI_FIXUP_HEADER(PCI_VENDOR_ID_IBM, 0x034a, quirk_extend_bar_to_page);
+
 /*
  *  S3 868 and 968 chips report region size equal to 32M, but they decode 64M.
  *  If it's needed, re-allocate the region.
@@ -2985,6 +3005,8 @@ DECLARE_PCI_FIXUP_HEADER(0x1814, 0x0601, /* Ralink RT2800 802.11n PCI */
  */
 DECLARE_PCI_FIXUP_HEADER(PCI_VENDOR_ID_REALTEK, 0x8169,
                         quirk_broken_intx_masking);
+DECLARE_PCI_FIXUP_HEADER(PCI_VENDOR_ID_MELLANOX, PCI_ANY_ID,
+                        quirk_broken_intx_masking);
 
 #ifdef CONFIG_ACPI
 /*
@@ -3512,57 +3534,6 @@ DECLARE_PCI_FIXUP_HEADER(0x1283, 0x8892, quirk_use_pcie_bridge_dma_alias);
 /* Intel 82801, https://bugzilla.kernel.org/show_bug.cgi?id=44881#c49 */
 DECLARE_PCI_FIXUP_HEADER(0x8086, 0x244e, quirk_use_pcie_bridge_dma_alias);
 
-static struct pci_dev *pci_func_0_dma_source(struct pci_dev *dev)
-{
-       if (!PCI_FUNC(dev->devfn))
-               return pci_dev_get(dev);
-
-       return pci_get_slot(dev->bus, PCI_DEVFN(PCI_SLOT(dev->devfn), 0));
-}
-
-static const struct pci_dev_dma_source {
-       u16 vendor;
-       u16 device;
-       struct pci_dev *(*dma_source)(struct pci_dev *dev);
-} pci_dev_dma_source[] = {
-       /*
-        * https://bugzilla.redhat.com/show_bug.cgi?id=605888
-        *
-        * Some Ricoh devices use the function 0 source ID for DMA on
-        * other functions of a multifunction device.  The DMA devices
-        * is therefore function 0, which will have implications of the
-        * iommu grouping of these devices.
-        */
-       { PCI_VENDOR_ID_RICOH, 0xe822, pci_func_0_dma_source },
-       { PCI_VENDOR_ID_RICOH, 0xe230, pci_func_0_dma_source },
-       { PCI_VENDOR_ID_RICOH, 0xe832, pci_func_0_dma_source },
-       { PCI_VENDOR_ID_RICOH, 0xe476, pci_func_0_dma_source },
-       { 0 }
-};
-
-/*
- * IOMMUs with isolation capabilities need to be programmed with the
- * correct source ID of a device.  In most cases, the source ID matches
- * the device doing the DMA, but sometimes hardware is broken and will
- * tag the DMA as being sourced from a different device.  This function
- * allows that translation.  Note that the reference count of the
- * returned device is incremented on all paths.
- */
-struct pci_dev *pci_get_dma_source(struct pci_dev *dev)
-{
-       const struct pci_dev_dma_source *i;
-
-       for (i = pci_dev_dma_source; i->dma_source; i++) {
-               if ((i->vendor == dev->vendor ||
-                    i->vendor == (u16)PCI_ANY_ID) &&
-                   (i->device == dev->device ||
-                    i->device == (u16)PCI_ANY_ID))
-                       return i->dma_source(dev);
-       }
-
-       return pci_dev_get(dev);
-}
-
 /*
  * AMD has indicated that the devices below do not support peer-to-peer
  * in any system where they are found in the southbridge with an AMD
@@ -3664,6 +3635,21 @@ static int pci_quirk_intel_pch_acs(struct pci_dev *dev, u16 acs_flags)
        return acs_flags & ~flags ? 0 : 1;
 }
 
+static int pci_quirk_solarflare_acs(struct pci_dev *dev, u16 acs_flags)
+{
+       /*
+        * SV, TB, and UF are not relevant to multifunction endpoints.
+        *
+        * Solarflare indicates that peer-to-peer between functions is not
+        * possible, therefore RR, CR, and DT are not implemented.  Mask
+        * these out as if they were clear in the ACS capabilities register.
+        */
+       acs_flags &= ~(PCI_ACS_SV | PCI_ACS_TB | PCI_ACS_RR |
+                      PCI_ACS_CR | PCI_ACS_UF | PCI_ACS_DT);
+
+       return acs_flags ? 0 : 1;
+}
+
 static const struct pci_dev_acs_enabled {
        u16 vendor;
        u16 device;
@@ -3675,6 +3661,8 @@ static const struct pci_dev_acs_enabled {
        { PCI_VENDOR_ID_ATI, 0x439d, pci_quirk_amd_sb_acs },
        { PCI_VENDOR_ID_ATI, 0x4384, pci_quirk_amd_sb_acs },
        { PCI_VENDOR_ID_ATI, 0x4399, pci_quirk_amd_sb_acs },
+       { PCI_VENDOR_ID_SOLARFLARE, 0x0903, pci_quirk_solarflare_acs },
+       { PCI_VENDOR_ID_SOLARFLARE, 0x0923, pci_quirk_solarflare_acs },
        { PCI_VENDOR_ID_INTEL, PCI_ANY_ID, pci_quirk_intel_pch_acs },
        { 0 }
 };
index 827ad831f1dd67335cf3918ed960f81579fc8405..a81f413083e49e5751013bf4f0602a2605286dc2 100644 (file)
@@ -103,40 +103,6 @@ int pci_for_each_dma_alias(struct pci_dev *pdev,
        return ret;
 }
 
-/*
- * find the upstream PCIe-to-PCI bridge of a PCI device
- * if the device is PCIE, return NULL
- * if the device isn't connected to a PCIe bridge (that is its parent is a
- * legacy PCI bridge and the bridge is directly connected to bus 0), return its
- * parent
- */
-struct pci_dev *pci_find_upstream_pcie_bridge(struct pci_dev *pdev)
-{
-       struct pci_dev *tmp = NULL;
-
-       if (pci_is_pcie(pdev))
-               return NULL;
-       while (1) {
-               if (pci_is_root_bus(pdev->bus))
-                       break;
-               pdev = pdev->bus->self;
-               /* a p2p bridge */
-               if (!pci_is_pcie(pdev)) {
-                       tmp = pdev;
-                       continue;
-               }
-               /* PCI device should connect to a PCIe bridge */
-               if (pci_pcie_type(pdev) != PCI_EXP_TYPE_PCI_BRIDGE) {
-                       /* Busted hardware? */
-                       WARN_ON_ONCE(1);
-                       return NULL;
-               }
-               return pdev;
-       }
-
-       return tmp;
-}
-
 static struct pci_bus *pci_do_find_bus(struct pci_bus *bus, unsigned char busnr)
 {
        struct pci_bus *child;
index ce458885127457ac88653ae47ba9553bfd9ea6c8..ee16f0c5c47d06acb040561859e4fe62e1ed0111 100644 (file)
@@ -32,7 +32,6 @@
 
 #define MASK(n)        ((1 << (n)) - 1)        /* make an n-bit mask */
 
-#define PCI_VENDOR_ID_VMWARE           0x15AD
 #define PCI_DEVICE_ID_VMWARE_PVSCSI    0x07C0
 
 /*
index 259ba26615436278dfed308624b664acee83450d..017069a455d432af73af8939aee93195a5821a61 100644 (file)
@@ -133,7 +133,7 @@ static void pcistub_device_release(struct kref *kref)
        xen_pcibk_config_free_dyn_fields(dev);
        xen_pcibk_config_free_dev(dev);
 
-       dev->dev_flags &= ~PCI_DEV_FLAGS_ASSIGNED;
+       pci_clear_dev_assigned(dev);
        pci_dev_put(dev);
 
        kfree(psdev);
@@ -413,7 +413,7 @@ static int pcistub_init_device(struct pci_dev *dev)
        dev_dbg(&dev->dev, "reset device\n");
        xen_pcibk_reset_device(dev);
 
-       dev->dev_flags |= PCI_DEV_FLAGS_ASSIGNED;
+       pci_set_dev_assigned(dev);
        return 0;
 
 config_release:
index c826d1c28f9c866d79fb0460c0b0ce1e87c2b420..4fef65e5702396bab72e501d158b2c514d279127 100644 (file)
@@ -7,6 +7,8 @@
 #ifndef _AER_H_
 #define _AER_H_
 
+#include <linux/types.h>
+
 #define AER_NONFATAL                   0
 #define AER_FATAL                      1
 #define AER_CORRECTABLE                        2
index 142ec544167cc91fae73b4e4f1d1c8f347b179f2..2c5250222278069dabb31cf4dfbb8ce41fea95ca 100644 (file)
@@ -215,6 +215,11 @@ static inline int __deprecated check_region(resource_size_t s,
 
 /* Wrappers for managed devices */
 struct device;
+
+extern int devm_request_resource(struct device *dev, struct resource *root,
+                                struct resource *new);
+extern void devm_release_resource(struct device *dev, struct resource *new);
+
 #define devm_request_region(dev,start,n,name) \
        __devm_request_region(dev, &ioport_resource, (start), (n), (name))
 #define devm_request_mem_region(dev,start,n,name) \
index 61978a4608412896a3f199024c9c7b7d349c152d..ef98f73ea6185be81b6478466113caec2dcd38e9 100644 (file)
@@ -45,7 +45,7 @@
  * In the interest of not exposing interfaces to user-space unnecessarily,
  * the following kernel-only defines are being added here.
  */
-#define PCI_DEVID(bus, devfn)  ((((u16)bus) << 8) | devfn)
+#define PCI_DEVID(bus, devfn)  ((((u16)(bus)) << 8) | (devfn))
 /* return bus from PCI devid = ((u16)bus_number) << 8) | devfn */
 #define PCI_BUS_NUM(x) (((x) >> 8) & 0xff)
 
@@ -1557,16 +1557,11 @@ enum pci_fixup_pass {
 
 #ifdef CONFIG_PCI_QUIRKS
 void pci_fixup_device(enum pci_fixup_pass pass, struct pci_dev *dev);
-struct pci_dev *pci_get_dma_source(struct pci_dev *dev);
 int pci_dev_specific_acs_enabled(struct pci_dev *dev, u16 acs_flags);
 void pci_dev_specific_enable_acs(struct pci_dev *dev);
 #else
 static inline void pci_fixup_device(enum pci_fixup_pass pass,
                                    struct pci_dev *dev) { }
-static inline struct pci_dev *pci_get_dma_source(struct pci_dev *dev)
-{
-       return pci_dev_get(dev);
-}
 static inline int pci_dev_specific_acs_enabled(struct pci_dev *dev,
                                               u16 acs_flags)
 {
@@ -1701,7 +1696,7 @@ bool pci_acs_path_enabled(struct pci_dev *start,
                          struct pci_dev *end, u16 acs_flags);
 
 #define PCI_VPD_LRDT                   0x80    /* Large Resource Data Type */
-#define PCI_VPD_LRDT_ID(x)             (x | PCI_VPD_LRDT)
+#define PCI_VPD_LRDT_ID(x)             ((x) | PCI_VPD_LRDT)
 
 /* Large Resource Data Type Tag Item Names */
 #define PCI_VPD_LTIN_ID_STRING         0x02    /* Identifier String */
@@ -1828,15 +1823,17 @@ int pci_for_each_dma_alias(struct pci_dev *pdev,
                           int (*fn)(struct pci_dev *pdev,
                                     u16 alias, void *data), void *data);
 
-/**
- * pci_find_upstream_pcie_bridge - find upstream PCIe-to-PCI bridge of a device
- * @pdev: the PCI device
- *
- * if the device is PCIE, return NULL
- * if the device isn't connected to a PCIe bridge (that is its parent is a
- * legacy PCI bridge and the bridge is directly connected to bus 0), return its
- * parent
- */
-struct pci_dev *pci_find_upstream_pcie_bridge(struct pci_dev *pdev);
-
+/* helper functions for operation of device flag */
+static inline void pci_set_dev_assigned(struct pci_dev *pdev)
+{
+       pdev->dev_flags |= PCI_DEV_FLAGS_ASSIGNED;
+}
+static inline void pci_clear_dev_assigned(struct pci_dev *pdev)
+{
+       pdev->dev_flags &= ~PCI_DEV_FLAGS_ASSIGNED;
+}
+static inline bool pci_is_dev_assigned(struct pci_dev *pdev)
+{
+       return (pdev->dev_flags & PCI_DEV_FLAGS_ASSIGNED) == PCI_DEV_FLAGS_ASSIGNED;
+}
 #endif /* LINUX_PCI_H */
index 5f2e559af6b0a7a6ea07247ab405ea48407d4e72..2706ee9a4327f8b307bbe3fe9d116cd69ce79179 100644 (file)
@@ -187,6 +187,4 @@ static inline int pci_get_hp_params(struct pci_dev *dev,
        return -ENODEV;
 }
 #endif
-
-void pci_configure_slot(struct pci_dev *dev);
 #endif
index 6ed0bb73a8645d941be32a368060ad7f11abea57..da9e6f75319643e1e68d8da05eb9ee574fcc76af 100644 (file)
 #define PCI_VENDOR_ID_MORETON          0x15aa
 #define PCI_DEVICE_ID_RASTEL_2PORT     0x2000
 
+#define PCI_VENDOR_ID_VMWARE           0x15ad
+
 #define PCI_VENDOR_ID_ZOLTRIX          0x15b0
 #define PCI_DEVICE_ID_ZOLTRIX_2BD0     0x2bd0
 
index 30db069bce62c6533b72a65e4c89f536a448c79d..57d6e56a086a32c059556e5215e7149ddf0be7b3 100644 (file)
 #define  PCI_EXP_RTCTL_PMEIE   0x0008  /* PME Interrupt Enable */
 #define  PCI_EXP_RTCTL_CRSSVE  0x0010  /* CRS Software Visibility Enable */
 #define PCI_EXP_RTCAP          30      /* Root Capabilities */
+#define  PCI_EXP_RTCAP_CRSVIS  0x0001  /* CRS Software Visibility capability */
 #define PCI_EXP_RTSTA          32      /* Root Status */
 #define PCI_EXP_RTSTA_PME      0x00010000 /* PME status */
 #define PCI_EXP_RTSTA_PENDING  0x00020000 /* PME pending */
index da14b8d092961bf5680bf06004f980ad1ec43859..ca24f19f9d1859453df2869d32dd976024e25190 100644 (file)
@@ -1248,6 +1248,76 @@ int release_mem_region_adjustable(struct resource *parent,
 /*
  * Managed region resource
  */
+static void devm_resource_release(struct device *dev, void *ptr)
+{
+       struct resource **r = ptr;
+
+       release_resource(*r);
+}
+
+/**
+ * devm_request_resource() - request and reserve an I/O or memory resource
+ * @dev: device for which to request the resource
+ * @root: root of the resource tree from which to request the resource
+ * @new: descriptor of the resource to request
+ *
+ * This is a device-managed version of request_resource(). There is usually
+ * no need to release resources requested by this function explicitly since
+ * that will be taken care of when the device is unbound from its driver.
+ * If for some reason the resource needs to be released explicitly, because
+ * of ordering issues for example, drivers must call devm_release_resource()
+ * rather than the regular release_resource().
+ *
+ * When a conflict is detected between any existing resources and the newly
+ * requested resource, an error message will be printed.
+ *
+ * Returns 0 on success or a negative error code on failure.
+ */
+int devm_request_resource(struct device *dev, struct resource *root,
+                         struct resource *new)
+{
+       struct resource *conflict, **ptr;
+
+       ptr = devres_alloc(devm_resource_release, sizeof(*ptr), GFP_KERNEL);
+       if (!ptr)
+               return -ENOMEM;
+
+       *ptr = new;
+
+       conflict = request_resource_conflict(root, new);
+       if (conflict) {
+               dev_err(dev, "resource collision: %pR conflicts with %s %pR\n",
+                       new, conflict->name, conflict);
+               devres_free(ptr);
+               return -EBUSY;
+       }
+
+       devres_add(dev, ptr);
+       return 0;
+}
+EXPORT_SYMBOL(devm_request_resource);
+
+static int devm_resource_match(struct device *dev, void *res, void *data)
+{
+       struct resource **ptr = res;
+
+       return *ptr == data;
+}
+
+/**
+ * devm_release_resource() - release a previously requested resource
+ * @dev: device for which to release the resource
+ * @new: descriptor of the resource to release
+ *
+ * Releases a resource previously requested using devm_request_resource().
+ */
+void devm_release_resource(struct device *dev, struct resource *new)
+{
+       WARN_ON(devres_release(dev, devm_resource_release, devm_resource_match,
+                              new));
+}
+EXPORT_SYMBOL(devm_release_resource);
+
 struct region_devres {
        struct resource *parent;
        resource_size_t start;
index 5819a2708d7edd5823d9e5885a6b7c3796b387ad..e05000e200d22bf7e7edf1ba6a3d8dbc1d1c9a6b 100644 (file)
@@ -302,7 +302,7 @@ static void kvm_free_assigned_device(struct kvm *kvm,
        else
                pci_restore_state(assigned_dev->dev);
 
-       assigned_dev->dev->dev_flags &= ~PCI_DEV_FLAGS_ASSIGNED;
+       pci_clear_dev_assigned(assigned_dev->dev);
 
        pci_release_regions(assigned_dev->dev);
        pci_disable_device(assigned_dev->dev);
index 714b949323120aee855dd7e57db549cac31e8183..e723bb91aa346a3bbc0805e064a3823838d2a7c1 100644 (file)
@@ -203,7 +203,7 @@ int kvm_assign_device(struct kvm *kvm,
                        goto out_unmap;
        }
 
-       pdev->dev_flags |= PCI_DEV_FLAGS_ASSIGNED;
+       pci_set_dev_assigned(pdev);
 
        dev_info(&pdev->dev, "kvm assign device\n");
 
@@ -229,7 +229,7 @@ int kvm_deassign_device(struct kvm *kvm,
 
        iommu_detach_device(domain, &pdev->dev);
 
-       pdev->dev_flags &= ~PCI_DEV_FLAGS_ASSIGNED;
+       pci_clear_dev_assigned(pdev);
 
        dev_info(&pdev->dev, "kvm deassign device\n");