From: bdemsky Date: Mon, 26 Feb 2007 16:21:18 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: preEdgeChange~698 X-Git-Url: http://demsky.eecs.uci.edu/git/?a=commitdiff_plain;h=06194eeb9a5c525133dd1c153b5263b8eb0cb6a6;p=IRC.git *** empty log message *** --- diff --git a/Robust/src/Main/Main.java b/Robust/src/Main/Main.java index 4f391128..b6036fc9 100644 --- a/Robust/src/Main/Main.java +++ b/Robust/src/Main/Main.java @@ -95,7 +95,7 @@ public class Main { BuildFlat bf=new BuildFlat(state,tu); bf.buildFlat(); - + BuildCode bc=new BuildCode(state, bf.getMap(), tu); bc.buildCode(); System.exit(0); diff --git a/Robust/src/Runtime/DSTM/docs/readme.txt b/Robust/src/Runtime/DSTM/docs/readme.txt new file mode 100644 index 00000000..2ddc5a09 --- /dev/null +++ b/Robust/src/Runtime/DSTM/docs/readme.txt @@ -0,0 +1 @@ +Distributed Shared Transactional Memory \ No newline at end of file