From bbfd888d847b6c3497165a411d2e12d61777b773 Mon Sep 17 00:00:00 2001 From: Roxana Blaj Date: Sat, 27 Sep 2014 17:32:52 +0300 Subject: [PATCH] staging: rtl8192u: remove space before semicolon This fixes the checkpatch.pl warning: WARNING: space prohibited before semicolon Signed-off-by: Roxana Blaj Signed-off-by: Greg Kroah-Hartman --- drivers/staging/rtl8192u/r819xU_firmware.c | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/drivers/staging/rtl8192u/r819xU_firmware.c b/drivers/staging/rtl8192u/r819xU_firmware.c index efa704a73cec..eca5128894e3 100644 --- a/drivers/staging/rtl8192u/r819xU_firmware.c +++ b/drivers/staging/rtl8192u/r819xU_firmware.c @@ -51,7 +51,7 @@ static bool fw_download_code(struct net_device *dev, u8 *code_virtual_address, frag_threshold = pfirmware->cmdpacket_frag_thresold; do { if ((buffer_len - frag_offset) > frag_threshold) { - frag_length = frag_threshold ; + frag_length = frag_threshold; bLastIniPkt = 0; } else { @@ -78,7 +78,7 @@ static bool fw_download_code(struct net_device *dev, u8 *code_virtual_address, * Transform from little endian to big endian * and pending zero */ - for (i=0 ; i < frag_length; i+=4) { + for (i=0; i < frag_length; i+=4) { *seg_ptr++ = ((i+0)