[docs] Add link to SysV ABI document.